Skip to content

Instantly share code, notes, and snippets.

View ObKo's full-sized avatar

Konstantin Oblaukhov ObKo

  • SoftLab-NSK
  • Novosibirsk, Russia
View GitHub Profile
#include <stdio.h>
int main(int t,int _,char*a)
{return!0<t?t<3?main(-79,-13,a+main(-87,1-_,
main(-86, 0, a+1 )+a)):1,t<_?main(t+1, _, a ):3,main ( -94, -27+t, a
)&&t == 2 ?_<13 ?main ( 2, _+1, "%s %d %d\n" ):9:16:t<0?t<-72?main(_,
t,"@n'+,#'/*{}w+/w#cdnr/+,{}r/*de}+,/*{*+,/w{%+,/w#q#n+,/#{l,+,/n{n+\
,/+#n+,/#;#q#n+,/+k#;*+,/'r :'d*'3,}{w+K w'K:'+}e#';dq#'l q#'+d'K#!/\
+k#;q#'r}eKK#}w'r}eKK{nl]'/#;#q#n'){)#}w'){){nl]'/+#n';d}rw' i;# ){n\
l]!/n{n#'; r{#w'r nc{nl]'/#{l,+'K {rw' iK{;[{nl]'/w#q#\
val gtSuperConductor = <ore:wireGt01Superconductor>;
val iridiumPlate = <ore:plateAlloyIridium>;
val tsDrillHead = <ore:toolHeadDrillTungstenSteel>;
val tsChainsawHead = <ore:toolHeadChainsawTungstenSteel>;
val gsSuperConductor = <GraviSuite:itemSimpleItem:1>;
// Superconductor cover
recipes.remove(<GraviSuite:itemSimpleItem>);
# To use different sets of recipes, include other recipe files in the order of
# priority to use the recipes defined in them. The last include has the highest
# priority (i.e. included recipes simply replace the current definition for all
# already known recipes).
# To disable a recipe, assign a boolean value to it. For example, to disable
# the recipe for the "oc:materialTransistor": `"oc:materialTransistor" = false`. This will disable the
# recipe and hide the item in the creative tab and NEI. If you assign `true`,
# the recipe will still be disabled, but not hidden in the creative tab/NEI.
//Created by Kosyak
import mods.gregtech.Assembler;
import mods.gregtech.CuttingSaw;
import mods.gregtech.FormingPress;
val transistor = <OpenComputers:item:23>;
val microchip1 = <OpenComputers:item:24>;
val microchip2 = <OpenComputers:item:25>;
val microchip3 = <OpenComputers:item:26>;
# Configuration file
endasteroids {
I:AsteroidMaxSize_200=200
I:AsteroidMinSize_50=50
I:AsteroidProbability_300=300
B:GenerateAsteroids_true=true
}
#!/usr/bin/python3
# To use this script,
# - Prepare modpack, so no id will be changed in future.
# - Install MetaDump mod - http://unmined.intro.hu/metadump
# - Run minecraft. MetaDump will flood .minecraft folder with JSON dumps, we need metadump-blocks-1.7.10-gregtech-MC1710.json
# - remove all lines except data lines for small ores and save it as .csv with ";" separator (e.g. small.csv)
# - remove all lines except data lines for mix ores and save it as .csv with ";" separator (e.g. mix.csv)
# - Prepare WorldGeneration.cfg template (template for GT 5.09.08 - http://pastebin.com/zR250y3S:
# - set all default ore generation to false
//Created by DreamMasterXXL
//Ported for KR by Kosyak
//Mod Import
import mods.gregtech.Assembler;
import mods.gregtech.BlastFurnace;
import mods.gregtech.Canner;
import mods.ic2.Compressor;
import mods.gregtech.Extruder;
diff --git a/drivers/gdisp/SSD1306/SSD1306.h b/drivers/gdisp/SSD1306/SSD1306.h
index 7d7010e..8ec8b3a 100644
--- a/drivers/gdisp/SSD1306/SSD1306.h
+++ b/drivers/gdisp/SSD1306/SSD1306.h
@@ -44,6 +44,9 @@
#define SSD1306_EXTERNALVCC 0x1
#define SSD1306_SWITCHCAPVCC 0x2
+#define SSD1306_SETLOWCOLUMN 0x00
+#define SSD1306_SETHIGHCOLUMN 0x10
// WorldGenerator.java
// Ok, we have sList of veins and sWeight calculated for all dimensions
if (Worldgen_GT_Ore_Layer.sWeight > 0 && Worldgen_GT_Ore_Layer.sList.size() > 0) {
boolean temp = T;
for (int i = 0; i < 128 && temp; i++) {
int tRandomWeight = aRandom.nextInt(Worldgen_GT_Ore_Layer.sWeight);
for (Worldgen_GT_Ore_Layer tWorldGen : Worldgen_GT_Ore_Layer.sList) {
tRandomWeight -= tWorldGen.mWeight;
if (tRandomWeight <= 0) {
// Got some random ore, trying to place it
# Configuration file
galacticgreg {
I:AsteroidMaxSize_400=400
I:AsteroidMinSize_100=100
I:AsteroidProbability_50=50
I:EndAsteroidMaxSize_200=200
I:EndAsteroidMinSize_50=50
I:EndAsteroidProbability_300=300
B:GenerateAsteroidsInEnd_true=true