Skip to content

Instantly share code, notes, and snippets.

@Ravenslofty
Created June 12, 2020 13:04
Show Gist options
  • Save Ravenslofty/e3818e7c751d64de889cd05988bed28c to your computer and use it in GitHub Desktop.
Save Ravenslofty/e3818e7c751d64de889cd05988bed28c to your computer and use it in GitHub Desktop.
synth_intel_alm with recent additions
Old: synth_intel_alm
=== fastfir_dynamictaps ===
Number of wires: 35393
Number of wire bits: 116525
Number of public wires: 35393
Number of public wire bits: 116525
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 64499
MISTRAL_ALUT2 5838
MISTRAL_ALUT3 8179
MISTRAL_ALUT4 16931
MISTRAL_ALUT5 14070
MISTRAL_ALUT6 6981
MISTRAL_ALUT_ARITH 3931
MISTRAL_FF 8569
New: synth_intel_alm
=== fastfir_dynamictaps ===
Number of wires: 13117
Number of wire bits: 35118
Number of public wires: 13117
Number of public wire bits: 35118
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 19656
MISTRAL_ALUT2 7028
MISTRAL_ALUT_ARITH 3931
MISTRAL_FF 8569
MISTRAL_MUL18X18 128
New: synth_intel_alm -dff
=== fastfir_dynamictaps ===
Number of wires: 19843
Number of wire bits: 35045
Number of public wires: 19843
Number of public wire bits: 35045
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 19605
MISTRAL_ALUT2 7013
MISTRAL_ALUT_ARITH 3916
MISTRAL_FF 8548
MISTRAL_MUL18X18 128
Old: synth_intel_alm
=== vexriscv.demo.GenFull ===
Number of wires: 4892
Number of wire bits: 14979
Number of public wires: 4892
Number of public wire bits: 14979
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 8120
MISTRAL_ALUT2 699
MISTRAL_ALUT3 2084
MISTRAL_ALUT4 1077
MISTRAL_ALUT5 1821
MISTRAL_ALUT6 396
MISTRAL_ALUT_ARITH 326
MISTRAL_FF 1700
MISTRAL_NOT 4
altsyncram 13
New: synth_intel_alm
=== vexriscv.demo.GenFull ===
Number of wires: 1986
Number of wire bits: 8599
Number of public wires: 1986
Number of public wire bits: 8599
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 4376
MISTRAL_ALUT2 235
MISTRAL_ALUT3 592
MISTRAL_ALUT4 434
MISTRAL_ALUT5 848
MISTRAL_ALUT6 219
MISTRAL_ALUT_ARITH 326
MISTRAL_FF 1700
MISTRAL_M10K 13
MISTRAL_MUL18X18 4
MISTRAL_NOT 5
New: synth_intel_alm -dff
=== vexriscv.demo.GenFull ===
Number of wires: 2511
Number of wire bits: 8564
Number of public wires: 2511
Number of public wire bits: 8564
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 4238
MISTRAL_ALUT2 210
MISTRAL_ALUT3 549
MISTRAL_ALUT4 470
MISTRAL_ALUT5 816
MISTRAL_ALUT6 197
MISTRAL_ALUT_ARITH 326
MISTRAL_FF 1648
MISTRAL_M10K 13
MISTRAL_MUL18X18 4
MISTRAL_NOT 5
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment