Created
April 16, 2019 17:22
-
-
Save SethGower/1ae3c9445867ede2f54b3bf8bc4d8889 to your computer and use it in GitHub Desktop.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/* | |
Xilinx Vivado v2018.3 (64-bit) [Major: 2018, Minor: 3] | |
SW Build: 2405991 on Thu Dec 6 23:36:41 MST 2018 | |
IP Build: 2404404 on Fri Dec 7 01:43:56 MST 2018 | |
Process ID (PID): 13487 | |
License: Customer | |
Current time: Tue Apr 16 13:13:20 EDT 2019 | |
Time zone: Eastern Standard Time (America/New_York) | |
OS: Linux | |
OS Version: 4.20.0-arch1-1-ARCH | |
OS Architecture: amd64 | |
Available processors (cores): 4 | |
Display: :0 | |
Screen size: 1920x1080 | |
Screen resolution (DPI): 96 | |
Available screens: 1 | |
Available disk space: 61 GB | |
Default font: family=Dialog,name=Dialog,style=plain,size=12 | |
Java version: 9.0.4 64-bit | |
Java home: /opt/Xilinx/Vivado/2018.3/tps/lnx64/jre9.0.4 | |
Java executable location: /opt/Xilinx/Vivado/2018.3/tps/lnx64/jre9.0.4/bin/java | |
Java initial memory (-Xms): 128 MB | |
Java maximum memory (-Xmx): 3 GB | |
User name: seth | |
User home directory: /home/seth | |
User working directory: /home/seth | |
User country: US | |
User language: en | |
User locale: en_US | |
RDI_BASEROOT: /opt/Xilinx/Vivado | |
HDI_APPROOT: /opt/Xilinx/Vivado/2018.3 | |
RDI_DATADIR: /opt/Xilinx/Vivado/2018.3/data | |
RDI_BINDIR: /opt/Xilinx/Vivado/2018.3/bin | |
Vivado preferences file location: /home/seth/.Xilinx/Vivado/2018.3/vivado.xml | |
Vivado preferences directory: /home/seth/.Xilinx/Vivado/2018.3/ | |
Vivado layouts directory: /home/seth/.Xilinx/Vivado/2018.3/layouts | |
PlanAhead jar file location: /opt/Xilinx/Vivado/2018.3/lib/classes/planAhead.jar | |
Vivado log file location: /home/seth/vivado.log | |
Vivado journal file location: /home/seth/vivado.jou | |
Engine tmp dir: ./.Xil/Vivado-13487-daedalus | |
Xilinx Environment Variables | |
---------------------------- | |
XILINX: /opt/Xilinx/Vivado/2018.3/ids_lite/ISE | |
XILINX_DSP: /opt/Xilinx/Vivado/2018.3/ids_lite/ISE | |
XILINX_PLANAHEAD: /opt/Xilinx/Vivado/2018.3 | |
XILINX_SDK: /opt/Xilinx/SDK/2018.3 | |
XILINX_VIVADO: /opt/Xilinx/Vivado/2018.3 | |
XILINX_VIVADO_HLS: /opt/Xilinx/Vivado/2018.3 | |
GUI allocated memory: 192 MB | |
GUI max memory: 3,072 MB | |
Engine allocated memory: 872 MB | |
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. | |
*/ | |
// TclEventType: START_GUI | |
// Tcl Message: start_gui | |
// Tcl Message: xterm: cannot load font "-Misc-Fixed-medium-R-*-*-13-120-75-75-C-120-ISO10646-1" | |
// bx (cp): Open Project : addNotify | |
// Opening Vivado Project: /home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1/project_1.xpr. Version: Vivado v2018.3 | |
// TclEventType: DEBUG_PROBE_SET_CHANGE | |
// TclEventType: MSGMGR_MOVEMSG | |
// TclEventType: FILE_SET_NEW | |
// TclEventType: RUN_COMPLETED | |
// TclEventType: RUN_CURRENT | |
// TclEventType: MSGMGR_REFRESH_MSG | |
// TclEventType: PROJECT_DASHBOARD_NEW | |
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW | |
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE | |
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW | |
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE | |
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW | |
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE | |
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW | |
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE | |
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW | |
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE | |
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW | |
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: PROJECT_NEW | |
// [GUI Memory]: 91 MB (+92628kb) [00:00:10] | |
// [Engine Memory]: 888 MB (+779459kb) [00:00:10] | |
// [GUI Memory]: 111 MB (+16719kb) [00:00:10] | |
// WARNING: HEventQueue.dispatchEvent() is taking 3381 ms. | |
// Tcl Message: open_project /home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1/project_1.xpr | |
// Tcl Message: Scanning sources... Finished scanning sources | |
// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.3/data/ip'. | |
// HMemoryUtils.trashcanNow. Engine heap size: 903 MB. GUI used memory: 50 MB. Current time: 4/16/19, 1:13:24 PM EDT | |
// Project name: project_1; location: /home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1; part: xc7a35tcpg236-1 | |
// [Engine Memory]: 946 MB (+14617kb) [00:00:13] | |
dismissDialog("Open Project"); // bx (cp) | |
// Tcl Message: update_compile_order -fileset sources_1 | |
// Elapsed time: 13 seconds | |
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // u (Q, cp) | |
selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_TIMING, "Run Post-Implementation Timing Simulation"); // af (al, cp) | |
// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_TIMING | |
// TclEventType: FILE_SET_CHANGE | |
// e (cp): Run Simulation : addNotify | |
// TclEventType: FILE_SET_CHANGE | |
selectButton("PAResourceQtoS.SimulationRun_SIMULATION_LANGUAGE_PROPERTY_SET_Yes", "Yes"); // JButton (C, I) | |
// TclEventType: LAUNCH_SIM | |
// TclEventType: FILE_SET_OPTIONS_CHANGE | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: FILE_SET_OPTIONS_CHANGE | |
// Tcl Message: launch_simulation -mode post-implementation -type timing | |
// Tcl Message: INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... INFO: [Vivado 12-5682] Launching post-implementation timing simulation in '/home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1/project_1.sim/sim_1/impl/timing/xsim' INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-20] The target language is set to VHDL, it is not supported for simulation type 'timing', using Verilog instead. | |
// HMemoryUtils.trashcanNow. Engine heap size: 1,072 MB. GUI used memory: 52 MB. Current time: 4/16/19, 1:13:47 PM EDT | |
// TclEventType: SDC_CONSTRAINT_ADD | |
// TclEventType: POWER_CNS_STALE | |
// TclEventType: SDC_CONSTRAINT_ADD | |
// TclEventType: FLOORPLAN_MODIFY | |
// TclEventType: DESIGN_NEW | |
// HMemoryUtils.trashcanNow. Engine heap size: 1,653 MB. GUI used memory: 52 MB. Current time: 4/16/19, 1:13:55 PM EDT | |
// [Engine Memory]: 1,656 MB (+694565kb) [00:00:44] | |
// TclEventType: DESIGN_NEW | |
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED | |
// Xgd.load filename: /opt/Xilinx/Vivado/2018.3/data/parts/xilinx/artix7/devint/artix7/xc7a35t/xc7a35t.xgd; ZipEntry: xc7a35t_detail.xgd elapsed time: 1.2s | |
// [Engine Memory]: 1,788 MB (+51549kb) [00:00:46] | |
// [GUI Memory]: 120 MB (+3450kb) [00:00:46] | |
// [GUI Memory]: 127 MB (+491kb) [00:00:46] | |
// Device: addNotify | |
// DeviceView Instantiated | |
// WARNING: HEventQueue.dispatchEvent() is taking 2419 ms. | |
// TclEventType: CURR_DESIGN_SET | |
// Tcl Message: INFO: [Netlist 29-17] Analyzing 33 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2018.3 INFO: [Device 21-403] Loading part xc7a35tcpg236-1 INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Timing 38-478] Restoring timing data from binary archive. INFO: [Timing 38-479] Binary timing data restore complete. INFO: [Project 1-856] Restoring constraints from binary archive. INFO: [Project 1-853] Binary constraint restore complete. | |
// Tcl Message: Reading XDEF placement. Reading placer database... Reading XDEF routing. | |
// Tcl Message: Read XDEF File: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 7072.523 ; gain = 0.000 ; free physical = 1444 ; free virtual = 20308 | |
// Tcl Message: Restored from archive | CPU: 0.050000 secs | Memory: 0.736122 MB | | |
// Tcl Message: Finished XDEF File Restore: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.05 . Memory (MB): peak = 7072.523 ; gain = 0.000 ; free physical = 1444 ; free virtual = 20308 Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 7072.523 ; gain = 0.000 ; free physical = 1444 ; free virtual = 20309 | |
// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. | |
// RouteApi: Init Delay Mediator Swing Worker Finished | |
// Device view-level: 0.0 | |
// Tcl Message: open_run: Time (s): cpu = 00:00:21 ; elapsed = 00:00:14 . Memory (MB): peak = 7221.832 ; gain = 849.320 ; free physical = 1350 ; free virtual = 20215 | |
// TclEventType: LOAD_FEATURE | |
// TclEventType: PACKAGER_MESSAGE_RESET | |
// TclEventType: PACKAGER_UNLOAD_CORE | |
// TclEventType: LAUNCH_SIM_LOG | |
// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1/project_1.sim/sim_1/impl/timing/xsim' | |
// Tcl Message: Vivado Simulator 2018.3 Copyright 1986-1999, 2001-2018 Xilinx, Inc. All Rights Reserved. Running: /opt/Xilinx/Vivado/2018.3/bin/unwrapped/lnx64.o/xelab -wto 23ccacba316d4c679b7ee264af745b86 --incr --debug typical --relax --mt 8 --maxdelay -L xil_defaultlib -L simprims_ver -L secureip --snapshot tb_processor_time_impl -transport_int_delays -pulse_r 0 -pulse_int_r 0 -pulse_e 0 -pulse_int_e 0 xil_defaultlib.tb_processor xil_defaultlib.glbl -log elaborate.log Using 8 slave threads. Starting static elaboration Completed static elaboration Starting simulation data flow analysis | |
// TclEventType: LAUNCH_SIM | |
// Tcl Message: Built simulation snapshot tb_processor_time_impl | |
// Tcl Message: run_program: Time (s): cpu = 00:00:12 ; elapsed = 00:00:07 . Memory (MB): peak = 7221.832 ; gain = 0.000 ; free physical = 1407 ; free virtual = 20269 | |
// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '7' seconds INFO: [USF-XSim-4] XSim::Simulate design | |
// TclEventType: LOAD_FEATURE | |
// Tcl Message: INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1/project_1.sim/sim_1/impl/timing/xsim' INFO: [USF-XSim-98] *** Running xsim | |
// Tcl Message: with args "tb_processor_time_impl -key {Post-Implementation:sim_1:Timing:tb_processor} -tclbatch {tb_processor.tcl} -view {/home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1/tb_processor_behav.wcfg} -log {simulate.log}" | |
// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature | |
// Tcl Message: Vivado Simulator 2018.3 | |
// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT | |
// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE | |
// TclEventType: SIMULATION_UPDATE_OBJECT_TREE | |
// Tcl Message: Time resolution is 1 ps | |
// TclEventType: SIMULATION_UPDATE_OBJECT_TREE | |
// Elapsed time: 27 seconds | |
closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v | |
// TclEventType: WAVEFORM_UPDATE_TITLE | |
// TclEventType: WAVEFORM_OPEN_WCFG | |
// TclEventType: SIMULATION_UPDATE_OBJECT_TREE | |
// TclEventType: WAVEFORM_OPEN_WCFG | |
// TclEventType: WAVEFORM_MODEL_EVENT | |
// TclEventType: WAVEFORM_UPDATE_WAVEFORM | |
// TclEventType: WAVEFORM_UPDATE_COMMANDS | |
// Waveform: addNotify | |
// HMemoryUtils.trashcanNow. Engine heap size: 1,830 MB. GUI used memory: 83 MB. Current time: 4/16/19, 1:14:13 PM EDT | |
// Waveform: addNotify | |
// Tcl Message: open_wave_config /home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1/tb_processor_behav.wcfg | |
// TclEventType: WAVEFORM_UPDATE_WAVEFORM | |
// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE | |
// TclEventType: SIMULATION_CLEAR_CURRENT_LINE | |
// Tcl Message: source tb_processor.tcl | |
// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1ms | |
// Tcl Message: Warning: [Unisim MMCME2_ADV-20] Input CLKIN1 period and attribute CLKIN1_PERIOD are not same. Instance tb_processor.processor_0.clk_wiz_0_0.inst.mmcm_adv_inst | |
// TclEventType: WAVEFORM_MODEL_EVENT | |
// TclEventType: WAVEFORM_UPDATE_WAVEFORM | |
// TclEventType: SIMULATION_UPDATE_LATEST_TIME | |
// HMemoryUtils.trashcanNow. Engine heap size: 1,831 MB. GUI used memory: 84 MB. Current time: 4/16/19, 1:14:14 PM EDT | |
// TclEventType: SIMULATION_UPDATE_LATEST_TIME | |
// TclEventType: SIMULATION_OBJECT_TREE_RESTORED | |
// TclEventType: WAVEFORM_MODEL_EVENT | |
// TclEventType: WAVEFORM_UPDATE_WAVEFORM | |
// TclEventType: SIMULATION_UPDATE_LATEST_TIME | |
// TclEventType: WAVEFORM_MODEL_EVENT | |
// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED | |
// TclEventType: SIMULATION_CURRENT_STACK_CHANGED | |
// TclEventType: SIMULATION_UPDATE_STACK_FRAMES | |
// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED | |
// TclEventType: SIMULATION_UPDATE_LOCALS | |
// TclEventType: SIMULATION_UPDATE_OBJECT_TREE | |
// TclEventType: SIMULATION_STOPPED | |
// [GUI Memory]: 135 MB (+1791kb) [00:01:03] | |
// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE | |
// Tcl Message: Failure: Simulation Finished Time: 120 us Iteration: 0 Process: /tb_processor/stim File: /home/seth/Documents/Courses/DSD2/Labs/1_proj/tb_processor.vhd $finish called at time : 120 us : File "/home/seth/Documents/Courses/DSD2/Labs/1_proj/tb_processor.vhd" Line 67 | |
// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE | |
// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_processor_time_impl' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1ms | |
// Tcl Message: launch_simulation: Time (s): cpu = 00:00:54 ; elapsed = 00:00:30 . Memory (MB): peak = 7266.914 ; gain = 894.402 ; free physical = 1352 ; free virtual = 20207 | |
// 'd' command handler elapsed time: 33 seconds | |
dismissDialog("Run Simulation"); // e (cp) | |
// TclEventType: WAVEFORM_MODEL_EVENT | |
// TclEventType: WAVEFORM_UPDATE_WAVEFORM | |
// TclEventType: WAVEFORM_MODEL_EVENT | |
// Elapsed time: 26 seconds | |
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_processor_behav.wcfg", 0); // k (j, cp) | |
// [GUI Memory]: 141 MB (+71kb) [00:01:30] | |
// HMemoryUtils.trashcanNow. Engine heap size: 1,876 MB. GUI used memory: 85 MB. Current time: 4/16/19, 1:14:42 PM EDT | |
selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 196, 287); // n (o, cp) | |
// TclEventType: WAVEFORM_UPDATE_WAVEFORM | |
// HMemoryUtils.trashcanNow. Engine heap size: 1,876 MB. GUI used memory: 86 MB. Current time: 4/16/19, 1:14:43 PM EDT | |
// TclEventType: WAVEFORM_UPDATE_WAVEFORM | |
// Elapsed time: 23 seconds | |
selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cp) | |
closeTask("Simulation", "Post-Implementation Simulation - Timing - sim_1 - tb_processor", "DesignTask.SIMULATION"); | |
// bx (cp): Close : addNotify | |
// TclEventType: WAVEFORM_CLOSE_WCFG | |
// [Engine Memory]: 1,878 MB (+372kb) [00:01:54] | |
// TclEventType: SIMULATION_CLOSE_SIMULATION | |
// WARNING: HEventQueue.dispatchEvent() is taking 1216 ms. | |
// HMemoryUtils.trashcanNow. Engine heap size: 1,885 MB. GUI used memory: 86 MB. Current time: 4/16/19, 1:15:08 PM EDT | |
// Tcl Message: close_sim | |
// Tcl Message: INFO: [Simtcl 6-16] Simulation closed | |
dismissDialog("Close"); // bx (cp) | |
selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cp) | |
closeTask("Implementation", "Implemented Design", "DesignTask.RESULTS_ANALYSIS"); | |
// bx (cp): Close : addNotify | |
// TclEventType: DESIGN_CLOSE | |
// HMemoryUtils.trashcanNow. Engine heap size: 1,861 MB. GUI used memory: 80 MB. Current time: 4/16/19, 1:15:14 PM EDT | |
// Engine heap size: 1,861 MB. GUI used memory: 80 MB. Current time: 4/16/19, 1:15:14 PM EDT | |
// TclEventType: CURR_DESIGN_SET | |
// Tcl Message: close_design | |
// TclEventType: DESIGN_CLOSE | |
dismissDialog("Close"); // bx (cp) | |
expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, processor(Structural) (processor.vhd)]", 1); // B (D, cp) | |
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, processor(Structural) (processor.vhd), clk_wiz_0_0 : clk_wiz_0 (clk_wiz_0.xci)]", 2, false); // B (D, cp) | |
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, processor(Structural) (processor.vhd), clk_wiz_0_0 : clk_wiz_0 (clk_wiz_0.xci)]", 2, false, false, false, false, false, true); // B (D, cp) - Double Click | |
// Run Command: PAResourceCommand.PACommandNames_RECUSTOMIZE_CORE | |
// TclEventType: LOAD_FEATURE | |
// O (cp): Re-customize IP : addNotify | |
// r (cp): Re-customize IP: addNotify | |
// WARNING: HEventQueue.dispatchEvent() is taking 1736 ms. | |
dismissDialog("Re-customize IP"); // O (cp) | |
selectTab(PAResourceTtoZ.XPG_TabbedPane_TABBED_PANE, (HResource) null, "Output Clocks", 2); // cT (C, r) | |
setText("CLKOUT1 REQUESTED OUT FREQ", "40", true); // z (cU, r) | |
// TclEventType: PACKAGER_OBJECT_ADD | |
// TclEventType: PACKAGER_OBJECT_CHANGE | |
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (r) | |
dismissDialog("Re-customize IP"); // r (cp) | |
// TclEventType: DG_GRAPH_STALE | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: PACKAGER_OBJECT_ADD | |
// TclEventType: PACKAGER_OBJECT_CHANGE | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: FILESET_UPDATE_IP | |
// Tcl Message: set_property -dict [list CONFIG.CLKOUT1_REQUESTED_OUT_FREQ {40} CONFIG.MMCM_DIVCLK_DIVIDE {1} CONFIG.MMCM_CLKFBOUT_MULT_F {10.000} CONFIG.MMCM_CLKOUT0_DIVIDE_F {25.000} CONFIG.CLKOUT1_JITTER {159.371} CONFIG.CLKOUT1_PHASE_ERROR {98.575}] [get_ips clk_wiz_0] | |
// [GUI Memory]: 150 MB (+1241kb) [00:02:21] | |
// TclEventType: FILE_SET_CHANGE | |
// aI (cp): Generate Output Products: addNotify | |
// TclEventType: FILE_SET_CHANGE | |
// [GUI Memory]: 158 MB (+486kb) [00:02:21] | |
selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI) | |
// HOptionPane Warning: 'A background task is running. Please wait until it completes and try again. (Background Task)' | |
// HMemoryUtils.trashcanNow. Engine heap size: 1,903 MB. GUI used memory: 74 MB. Current time: 4/16/19, 1:15:37 PM EDT | |
// Elapsed time: 15 seconds | |
selectButton("OptionPane.button", "OK"); // JButton (C, J) | |
selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI) | |
// bx (cp): Managing Output Products : addNotify | |
// Tcl Message: generate_target all [get_files /home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1/project_1.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci] | |
// Tcl Message: INFO: [IP_Flow 19-1686] Generating 'Instantiation Template' target for IP 'clk_wiz_0'... INFO: [IP_Flow 19-1686] Generating 'Synthesis' target for IP 'clk_wiz_0'... | |
// TclEventType: DG_GRAPH_STALE | |
// TclEventType: FILE_SET_CHANGE | |
// Tcl Message: INFO: [IP_Flow 19-1686] Generating 'Simulation' target for IP 'clk_wiz_0'... INFO: [IP_Flow 19-1686] Generating 'Implementation' target for IP 'clk_wiz_0'... INFO: [IP_Flow 19-1686] Generating 'Change Log' target for IP 'clk_wiz_0'... | |
// Tcl Message: catch { config_ip_cache -export [get_ips -all clk_wiz_0] } | |
// Tcl Message: export_ip_user_files -of_objects [get_files /home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1/project_1.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci] -no_script -sync -force -quiet | |
// TclEventType: RUN_MODIFY | |
// TclEventType: RUN_RESET | |
// Tcl Message: reset_run clk_wiz_0_synth_1 | |
// TclEventType: RUN_RESET | |
// TclEventType: RUN_MODIFY | |
// TclEventType: DG_GRAPH_STALE | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: RUN_LAUNCH | |
// TclEventType: RUN_MODIFY | |
// Tcl Message: launch_runs -jobs 4 clk_wiz_0_synth_1 | |
// Tcl Message: [Tue Apr 16 13:15:54 2019] Launched clk_wiz_0_synth_1... Run output will be captured here: /home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1/project_1.runs/clk_wiz_0_synth_1/runme.log | |
// Tcl Message: INFO: [Vivado 12-4357] Exporting simulation files for 'clk_wiz_0'... please wait for 'clk_wiz_0_synth_1' run to finish... | |
// Tcl Message: wait_on_run clk_wiz_0_synth_1 | |
// Tcl Message: [Tue Apr 16 13:15:55 2019] Waiting for clk_wiz_0_synth_1 to finish... | |
// Tcl Message: [Tue Apr 16 13:16:00 2019] Waiting for clk_wiz_0_synth_1 to finish... | |
// Tcl Message: [Tue Apr 16 13:16:05 2019] Waiting for clk_wiz_0_synth_1 to finish... | |
// HMemoryUtils.trashcanNow. Engine heap size: 1,900 MB. GUI used memory: 73 MB. Current time: 4/16/19, 1:16:08 PM EDT | |
// Tcl Message: [Tue Apr 16 13:16:10 2019] Waiting for clk_wiz_0_synth_1 to finish... | |
// Tcl Message: [Tue Apr 16 13:16:20 2019] Waiting for clk_wiz_0_synth_1 to finish... | |
// TclEventType: RUN_COMPLETED | |
// Tcl Message: [Tue Apr 16 13:16:23 2019] clk_wiz_0_synth_1 finished | |
// Tcl Message: wait_on_run: Time (s): cpu = 00:00:30 ; elapsed = 00:00:29 . Memory (MB): peak = 7310.270 ; gain = 0.000 ; free physical = 1143 ; free virtual = 20123 | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: PROJECT_CHANGE | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: PROJECT_CHANGE | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: PROJECT_CHANGE | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: PROJECT_CHANGE | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: PROJECT_CHANGE | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: PROJECT_CHANGE | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: PROJECT_CHANGE | |
// TclEventType: FILE_SET_CHANGE | |
// TclEventType: PROJECT_CHANGE | |
// TclEventType: PACKAGER_MESSAGE_RESET | |
// TclEventType: PACKAGER_UNLOAD_CORE | |
// Elapsed time: 36 seconds | |
selectButton("PAResourceQtoS.SimpleOutputProductDialog_OUT_OF_CONTEXT_MODULE_RUNS_WERE_LAUNCHED_OK", "OK"); // JButton (C, I) | |
// Elapsed time: 14 seconds | |
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // u (Q, cp) | |
selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_TIMING, "Run Post-Implementation Timing Simulation"); // af (al, cp) | |
// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_TIMING | |
selectButton("PAResourceQtoS.SimulationRun_SIMULATION_LANGUAGE_PROPERTY_SET_No", "No"); // JButton (C, I) | |
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 17, false); // u (Q, cp) | |
// Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION | |
// bx (cp): Resetting Runs : addNotify | |
// TclEventType: RUN_MODIFY | |
// TclEventType: RUN_RESET | |
// TclEventType: RUN_MODIFY | |
// Tcl Message: reset_run synth_1 | |
// bx (cp): Starting Design Runs : addNotify | |
// TclEventType: RUN_LAUNCH | |
// TclEventType: RUN_MODIFY | |
// Tcl Message: launch_runs impl_1 -jobs 4 | |
// Tcl Message: [Tue Apr 16 13:16:56 2019] Launched synth_1... Run output will be captured here: /home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1/project_1.runs/synth_1/runme.log [Tue Apr 16 13:16:56 2019] Launched impl_1... Run output will be captured here: /home/seth/Documents/Courses/DSD2/Labs/Vivado/project_1/project_1.runs/impl_1/runme.log | |
dismissDialog("Starting Design Runs"); // bx (cp) | |
// TclEventType: RUN_COMPLETED | |
// TclEventType: RUN_STEP_COMPLETED | |
// TclEventType: RUN_COMPLETED |
Sign up for free
to join this conversation on GitHub.
Already have an account?
Sign in to comment