Skip to content

Instantly share code, notes, and snippets.

@ThomasHornschuh
Created March 7, 2020 15:34
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save ThomasHornschuh/a3c5c8a4cca2409d7f1505db0864e3b9 to your computer and use it in GitHub Desktop.
Save ThomasHornschuh/a3c5c8a4cca2409d7f1505db0864e3b9 to your computer and use it in GitHub Desktop.
CAPI=1
[main]
name = ::bonfire-soc-io:0
depend = ::bonfire-util:0 ::zpuino-uart:0 ::bonfire-spiflash:0 ::bonfire-gpio:0
backend = ise
simulators=ghdl isim
[fileset rtl]
files = gpio.vhd io_intercon.vhd bonfire_soc_io.vhd
file_type=vhdlSource
[fileset sim]
files=tb/tb_bonfire_soc_io.vhd
file_type=vhdlSource
scope = private
[simulator]
toplevel = tb_bonfire_soc_io
CAPI=2:
filesets:
rtl:
depend:
- ::bonfire-util:0
- ::zpuino-uart:0
- ::bonfire-spiflash:0
- ::bonfire-gpio:0
file_type: vhdlSource
files:
- gpio.vhd
- io_intercon.vhd
- bonfire_soc_io.vhd
sim:
depend:
- ::bonfire-util:0
- ::zpuino-uart:0
- ::bonfire-spiflash:0
- ::bonfire-gpio:0
file_type: vhdlSource
files:
- tb/tb_bonfire_soc_io.vhd
name: ::bonfire-soc-io:0
targets:
default:
filesets:
- rtl
sim:
default_tool: ghdl
filesets:
- rtl
- sim
toplevel: tb_bonfire_soc_io
synth:
filesets:
- rtl
- sim
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment