Skip to content

Instantly share code, notes, and snippets.

View Udayraj123's full-sized avatar
:octocat:
Taking OMRChecker to the next level

Udayraj Deshmukh Udayraj123

:octocat:
Taking OMRChecker to the next level
View GitHub Profile
@Udayraj123
Udayraj123 / CS223assignment4.vhdl
Created March 27, 2018 20:01
A FSM-based simulation-level parallel matrix multiplication VHDL code.
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
----------------------------------------------------------------------------------------------------
-- Matrix multiplication in parallel
-- Two set of states used for this assignment.
-- These handle the sending data to board : (Reset,initSendA,sendA,sendA_2,initSendB,sendB,sendB_2,changeCol,readC,Halt,NextHalt)
-- These handle the calculation part (defined in rowmodule) : (Reset,firstStore,StoreAt_j,StoreAt_j_2, WaitCalc,WaitCalc_2,initCalc,CalcAt_i,CalcAt_i_2,changeCol,Halt,Finish);
----------------------------------------------------------------------------------------------------
@Udayraj123
Udayraj123 / CS223assignment2.vhdl
Created March 27, 2018 19:59
A Multi Functional Unit for FPGA based on user input coded in VHDL
--------------- SQROOT ENTITIY ------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- use IEEE.STD_LOGIC_unsigned.ALL;
-- use IEEE.STD_LOGIC_arith.ALL;
entity sqroot is port(
clock : in std_logic;
data_in : in std_logic_vector(7 downto 0);
@Udayraj123
Udayraj123 / assignment4.v
Last active December 3, 2017 17:26
Matrix multiplication
module
assign4try1(
// FX2 interface -----------------------------------------------------------------------------
input wire fx2Clk_in, // 48MHz clock from FX2
output wire[1:0] fx2Addr_out, // select FIFO: "10" for EP6OUT, "11" for EP8IN
inout wire[7:0] fx2Data_io, // 8-bit data to/from FX2
// When EP6OUT selected:
output wire fx2Read_out, // asserted (active-low) when reading from FX2
output wire fx2OE_out, // asserted (active-low) to tell FX2 to drive bus
@Udayraj123
Udayraj123 / assignment3.v
Created December 3, 2017 17:02
fpga, low pass image filtering
module
try3(
// FX2 interface -----------------------------------------------------------------------------
input wire fx2Clk_in, // 48MHz clock from FX2
output wire[1:0] fx2Addr_out, // select FIFO: "10" for EP6OUT, "11" for EP8IN
inout wire[7:0] fx2Data_io, // 8-bit data to/from FX2
// When EP6OUT selected:
output wire fx2Read_out, // asserted (active-low) when reading from FX2
output wire fx2OE_out, // asserted (active-low) to tell FX2 to drive bus
@Udayraj123
Udayraj123 / sane-gnome-settings.sh
Created June 16, 2017 14:05 — forked from grenade/sane-gnome-settings.sh
My personal Gnome preferences
# Sane settings for Gnome
gsettings set org.gnome.desktop.background show-desktop-icons true
gsettings set org.gnome.desktop.interface clock-show-date true
gsettings set org.gnome.settings-daemon.plugins.xsettings antialiasing 'grayscale'
gsettings set org.gnome.settings-daemon.plugins.xsettings hinting 'slight'
gsettings set org.gnome.desktop.interface text-scaling-factor '1.0'
gsettings set org.gnome.desktop.interface monospace-font-name "Monospace 10"
gsettings set org.gnome.desktop.interface document-font-name 'Sans 10'
gsettings set org.gnome.desktop.interface font-name 'Cantarell 10'
gsettings set org.gnome.desktop.wm.preferences titlebar-font 'Cantarell Bold 10'