Skip to content

Instantly share code, notes, and snippets.

@WanpengQian
Created April 13, 2016 16:49
Show Gist options
  • Save WanpengQian/18a2460ce03a932998eba624e9fded9e to your computer and use it in GitHub Desktop.
Save WanpengQian/18a2460ce03a932998eba624e9fded9e to your computer and use it in GitHub Desktop.
VBoxManage setextradata "VM Name" "VBoxInternal/Devices/acpi/0/Config/CustomTable" "/path/to/SLIC.BIN"
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment