Skip to content

Instantly share code, notes, and snippets.

@Wren6991
Created January 18, 2022 12:46
Show Gist options
  • Save Wren6991/4afb19d97ff58ef0c2658daa2ccfec09 to your computer and use it in GitHub Desktop.
Save Wren6991/4afb19d97ff58ef0c2658daa2ccfec09 to your computer and use it in GitHub Desktop.
yosys -p 'read_verilog -DFPGA hazard3_core.v hazard3_cpu_1port.v hazard3_cpu_2port.v arith/hazard3_alu.v arith/hazard3_shift_barrel.v arith/hazard3_priority_encode.v arith/hazard3_muldiv_seq.v arith/hazard3_mul_fast.v hazard3_frontend.v hazard3_instr_decompress.v hazard3_decode.v hazard3_csr.v hazard3_regfile_1w2r.v; hierarchy -top hazard3_cpu_2port; synth_ice40'
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment