Skip to content

Instantly share code, notes, and snippets.

prev_input = Signal(signed(self.in_width))
m.d.sync += prev_input.eq(self.input)
slope = self.input - prev_input
with m.If(self.output):
with m.If(slope <= -self.threshold):
m.d.sync += self.output.eq(False)
with m.Else():
with m.If(slope >= self.threshold):
class RamWindow(Elaboratable):
"""
Note - the maximum (0xFF..) address isn't usable while shifting samples in!
I don't plan to do anything about it.
Latency (input to output) - (2 + addr) cycles
Latency (addr to output) - 1 cycle (!)
"""
# useful sigs: 558bec, 56578bf9
def auto_discover_functions(funcsig="\x55\x8b\xec"): # push ebp; mov ebp, esp
last_match = bv.start
while True:
match = bv.find_next_data(last_match, funcsig)
if match is None: break
if bv.is_offset_executable(match):
if bv.get_function_at(bv.platform, match) is None:
bv.add_function(bv.platform, match)
last_match = match + 1
_cl_classmenuopen
achievement_debug replicated, cheat
anim_3wayblend replicated
anim_showmainactivity cheat
anim_showstate developmentonly, replicated, cheat
anim_showstatelog developmentonly, replicated, cheat
blink_duration
cam_collision archive, cheat
cam_idealdelta archive, cheat
cam_ideallag archive, cheat
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
import socketserver
import time
from random import randint
class MyTCPHandler(socketserver.StreamRequestHandler):
def handle(self):
while True:
self.wfile.flush()
newx = randint(0, 800)
newy = randint(0, 800)
@XMPPwocky
XMPPwocky / spam.js
Last active June 1, 2016 02:38
now i am become death, destroyer of steams
function sendMessage(target, text) {
var rgParams = {
umqid: Chat.m_umqid,
type: 'saytext',
steamid_dst: target,
text: text
};
return Chat.m_WebAPI.ExecJSONP( 'ISteamWebUserPresenceOAuth', 'Message', rgParams, true );
}
import re, string
from collections import Counter
def normalize_word(w):
return re.sub("[^a-z]", "", w.lower())
def load_words():
return list(map(normalize_word, open("/usr/share/dict/american-english-huge", "r").readlines()))
words = load_words()
achievement_debug
achievement_debug
achievement_disable
achievement_disable
achievement_easymode
achievement_easymode
ammo_338mag_headshot_mult
ammo_338mag_headshot_mult
ammo_338mag_impulse
ammo_338mag_impulse
achievement_debug
achievement_debug
achievement_disable
achievement_disable
achievement_easymode
achievement_easymode
ammo_338mag_headshot_mult
ammo_338mag_headshot_mult
ammo_338mag_impulse
ammo_338mag_impulse