Skip to content

Instantly share code, notes, and snippets.

View abarajithan11's full-sized avatar
🏠
Working from home

Abarajithan G abarajithan11

🏠
Working from home
View GitHub Profile
@abarajithan11
abarajithan11 / build_sys.tcl
Created September 1, 2021 19:41
Vivado TCL sample
set PROJ_NAME sys
source ./tcl/config.tcl
# Create project
create_project $PROJ_NAME ./$PROJ_FOLDER -part xc7z045ffg900-2
set_property board_part xilinx.com:zc706:part0:1.4 [current_project]
# Make IPs
set IP_NAMES [list ]
source ./tcl/generate_ip.tcl