Skip to content

Instantly share code, notes, and snippets.

@abelardojarab
Created November 7, 2017 19:55
Show Gist options
  • Save abelardojarab/0e3ebeea1a63c060c4255159366ee397 to your computer and use it in GitHub Desktop.
Save abelardojarab/0e3ebeea1a63c060c4255159366ee397 to your computer and use it in GitHub Desktop.
Compile a System Verilog project with Verilator
#!/bin/bash
verilator -Wno-STMTDLY -Wno-PINMISSING -Wno-LITENDIAN -Wno-CASEX -Wno-CASEINCOMPLETE -Wno-COMBDLY -Wno-WIDTH -Wno-UNOPTFLAT -f vlog_files.list +define+VENDOR_ALTERA +define+TOOL_QUARTUS +define+NUM_AFUS=1 +define+NLB400_MODE_0 +define+ASE_PLATFORM_MCP_SKYLAKE -I./nlb_rtl/rtl/include_files/common -I./sim_lib_verilated -I./sim_lib --top-module ccip_std_afu -cc test.c
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment