Skip to content

Instantly share code, notes, and snippets.

@ahmadia
Created March 3, 2016 23:21
Show Gist options
  • Save ahmadia/4794e80e369525a992e8 to your computer and use it in GitHub Desktop.
Save ahmadia/4794e80e369525a992e8 to your computer and use it in GitHub Desktop.
This file has been truncated, but you can view the full file.
<!doctype html>
<html>
<head>
<meta charset="utf-8" .>
<title>python-fortran</title>
<meta name="viewport" content="width=device-width, initial-scale=1.0">
<link href="https://cdn.rawgit.com/jiffyclub/snakeviz/v0.4.0/snakeviz/static/snakeviz.css" rel="stylesheet">
<!-- DataTables CSS -->
<link href="https://cdn.rawgit.com/jiffyclub/snakeviz/v0.4.0/snakeviz/static/vendor/jquery.dataTables.min.css" rel="stylesheet">
</head>
<body>
<h1 id="snakeviz-text">SnakeViz</h1>
<!-- reset button -->
<button id="resetbutton" disabled="True">Reset</button>
<!-- style select -->
<label id='sv-style-label'>Style:
<select name="sv-style" id="sv-style-select">
<option value="sunburst" selected>Sunburst</option>
<option value="icicle">Icicle</option>
</select>
</label>
<!-- depth select -->
<label id='sv-depth-label'>Depth:
<select name="sv-depth" id="sv-depth-select">
<option value="3" >3</option>
<option value="5" selected>5</option>
<option value="10" >10</option>
<option value="15" >15</option>
<option value="20" >20</option>
</select>
</label>
<!-- cutoff select -->
<label id='sv-cutoff-label'>Cutoff:
<select name="sv-cutoff" id="sv-cutoff-select">
<option value="0.001" selected>1 &frasl; 1000</option>
<option value="0.01">1 &frasl; 100</option>
<option value="0">None</option>
</select>
</label>
<!-- information div -->
<div id="sv-info-div"></div>
<!-- call stack -->
<div id="sv-call-stack">
<div id="working-spinner" class="spinner">
<div class="bounce1"></div>
<div class="bounce2"></div>
<div class="bounce3"></div>
</div>
<div style="display: inline-block;">
<button id="sv-call-stack-btn">Call Stack</button>
</div>
<div id="sv-call-stack-list"></div>
</div>
<!-- Error message -->
<div id="sv-error-div" class="sv-error-msg">
<p>
An error occurred processing your profile.
You can try a lower depth, a larger cutoff,
or try profiling a smaller portion of your code.
If you continue to have problems you can
<a href="https://github.com/jiffyclub/snakeviz/issues">
contact us on GitHub</a>.
</p>
<div id="sv-error-close-div" class="sv-error-close">Close</div>
</div>
<!-- vis -->
<div style="text-align: center;">
<div id="chart"></div>
</div>
<br>
<!-- stats table -->
<div id="table_div">
<table cellpadding="0" cellspacing="0" border="0" class="display" id="pstats-table">
</table>
</div>
<!-- Le javascript
================================================== -->
<!-- Placed at the end of the document so the pages load faster -->
<!-- Vendor JS -->
<script src="https://cdn.rawgit.com/jiffyclub/snakeviz/v0.4.0/snakeviz/static/vendor/jquery-1.11.1.min.js"></script>
<script src="https://cdn.rawgit.com/jiffyclub/snakeviz/v0.4.0/snakeviz/static/vendor/d3.min.js"></script>
<script src="https://cdn.rawgit.com/jiffyclub/snakeviz/v0.4.0/snakeviz/static/vendor/jquery.dataTables.min.js"></script>
<script src="https://cdn.rawgit.com/jiffyclub/snakeviz/v0.4.0/snakeviz/static/vendor/lodash.compat.min.js"></script>
<script src="https://cdn.rawgit.com/jiffyclub/snakeviz/v0.4.0/snakeviz/static/vendor/immutable.min.js"></script>
<!-- SnakeViz JS -->
<script>
// Make the stats table
var table_data = [[['1', 1], '3e-06', '3e-06', '1.2e-05', '1.2e-05', 'numeric.py:2880(_setdef)'], [['1', 1], '8.4e-05', '8.4e-05', '0.000114', '0.000114', 'geometry.py:3(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'errors.py:14(RedefinedError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'encoder.py:101(__init__)'], [['2', 2], '1.3e-05', '6.5e-06', '2e-05', '1e-05', '__init__.py:49(normalize_encoding)'], [['1', 1], '5e-06', '5e-06', '7e-06', '7e-06', 'base.py:203(ClassBuilder)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'callwrapper.py:74(_GilManager)'], [['1', 1], '0.001302', '0.001302', '0.009504', '0.009504', '__init__.py:10(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'utils.py:1579(WarningManager)'], [['1', 1], '0', '0', '0', '0', 'error.py:8(CudaSupportError)'], [['23', 23], '0.000176', '7.652e-06', '0.000256', '1.113e-05', 'solution.py:105(__init__)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:183(BinOpDiv)'], [['15', 15], '9e-06', '6e-07', '9e-06', '6e-07', '__init__.py:273(&lt;genexpr&gt;)'], [['1', 1], '0.000652', '0.000652', '0.003512', '0.003512', 'handlers.py:24(&lt;module&gt;)'], [['16', 16], '0.002577', '0.0001611', '0.002943', '0.0001839', 'executionengine.py:69(get_function_address)'], [['18', 18], '1e-05', '5.556e-07', '1.2e-05', '6.667e-07', 'imputils.py:99(decorate)'], [['2', 2], '1.3e-05', '6.5e-06', '0.000235', '0.0001175', 'api.py:370(_get_cached_btype)'], [['1', 1], '2.4e-05', '2.4e-05', '5.4e-05', '5.4e-05', 'printimpl.py:3(&lt;module&gt;)'], [['1', 1], '0.001326', '0.001326', '0.005602', '0.005602', 'codegen.py:1(&lt;module&gt;)'], [['139', 139], '3.3e-05', '2.374e-07', '3.3e-05', '2.374e-07', 'abstracttypes.py:96(__repr__)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', '&lt;string&gt;:1(Arguments)'], [['4804', 4804], '0.5347', '0.0001113', '0.5468', '0.0001138', 'euler_1D_py.py:125(compute_waves)'], [['110/66', 110], '0.000175', '2.652e-06', '0.0128', '0.000194', 'copy.py:226(_deepcopy_list)'], [['1', 1], '0.000663', '0.000663', '0.002343', '0.002343', 'util.py:35(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'inspect.py:632(BlockFinder)'], [['1', 1], '0', '0', '0', '0', 'ir.py:309(DelAttr)'], [['79', 79], '3.4e-05', '4.304e-07', '3.4e-05', '4.304e-07', '__init__.py:78(_is_sunder)'], [['3', 3], '5e-06', '1.667e-06', '2.1e-05', '7e-06', '__init__.py:686(createLock)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'platform.py:367(_popen)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'loader.py:38(TestLoader)'], [['9', 9], '6e-06', '6.667e-07', '7e-06', '7.778e-07', '_osx_support.py:120(_save_modified_value)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'compiler.py:63(CompileResult)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:4(KernelRuntimeError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'SocketServer.py:631(BaseRequestHandler)'], [['3', 3], '1e-05', '3.333e-06', '4.2e-05', '1.4e-05', 'types.py:583(__init__)'], [['2', 2], '5e-06', '2.5e-06', '7e-06', '3.5e-06', 'types.py:53(__init__)'], [['2', 2], '2e-06', '1e-06', '2e-06', '1e-06', 'utils.py:68(__init__)'], [['28854', 28854], '0.01456', '5.048e-07', '0.02367', '8.202e-07', 'solution.py:221(_get_base_state_attribute)'], [['8', 8], '1.8e-05', '2.25e-06', '0.002587', '0.0003234', 'values.py:444(__str__)'], [['1', 1], '0.001049', '0.001049', '0.007238', '0.007238', '__init__.py:45(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:394(AllocaInstr)'], [['12', 12], '2e-06', '1.667e-07', '2e-06', '1.667e-07', 'imputils.py:276(iternext_impl)'], [['1', 1], '2.7e-05', '2.7e-05', '3.6e-05', '3.6e-05', 'ctypes_utils.py:3(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'six.py:532(Iterator)'], [['1', 1], '0.000954', '0.000954', '0.001126', '0.001126', 'base.py:1(&lt;module&gt;)'], [['99', 99], '0.0006', '6.061e-06', '0.0006', '6.061e-06', '~:0(&lt;method &#39;__deepcopy__&#39; of &#39;numpy.ndarray&#39; objects&gt;)'], [['1', 1], '0', '0', '0', '0', 'listdecl.py:211(ListNe)'], [['1', 1], '4.9e-05', '4.9e-05', '5.4e-05', '5.4e-05', 'service.py:4(&lt;module&gt;)'], [['10', 10], '2.6e-05', '2.6e-06', '0.00012', '1.2e-05', 'genericpath.py:23(exists)'], [['1', 1], '0.001009', '0.001009', '0.001913', '0.001913', 'builder.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'pythonapi.py:60(_UnboxContext)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'castgraph.py:9(Conversion)'], [['1', 1], '0.000738', '0.000738', '0.000738', '0.000738', 'initfini.py:38(_version_info)'], [['44', 44], '4.9e-05', '1.114e-06', '0.000199', '4.523e-06', '__init__.py:695(acquire)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npdatetime.py:67(TimedeltaMixOp)'], [['1', 1], '0', '0', '0', '0', 'values.py:332(AttributeSet)'], [['1', 1], '0.001352', '0.001352', '0.001545', '0.001545', 'ufuncbuilder.py:2(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'cfl.py:7(__init__)'], [['6', 6], '1.7e-05', '2.833e-06', '2.8e-05', '4.667e-06', 'core.py:1096(__init__)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', '~:0(&lt;method &#39;splitlines&#39; of &#39;str&#39; objects&gt;)'], [['2', 2], '5e-06', '2.5e-06', '5e-06', '2.5e-06', 'index_tricks.py:148(__init__)'], [['1', 1], '0.000462', '0.000462', '0.001989', '0.001989', 'core.py:21(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'threading.py:423(_Semaphore)'], [['40', 40], '1.9e-05', '4.75e-07', '2e-05', '5e-07', '_inspect.py:28(isfunction)'], [['1', 1], '0.00155', '0.00155', '0.00156', '0.00156', 'typeconv.py:1(&lt;module&gt;)'], [['9610', 9610], '0.01379', '1.435e-06', '0.01379', '1.435e-06', 'numeric.py:1396(rollaxis)'], [['1', 1], '1.4e-05', '1.4e-05', '3.9e-05', '3.9e-05', 'threading.py:647(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'compiler.py:176(ForAll)'], [['1', 1], '7e-06', '7e-06', '0.000131', '0.000131', 'solver.py:267(__init__)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:158(TimedeltaCmpLE)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'main.py:63(TestProgram)'], [['2', 2], '3e-06', '1.5e-06', '3e-06', '1.5e-06', '~:0(&lt;method &#39;astype&#39; of &#39;numpy.ndarray&#39; objects&gt;)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:754(UnaryOp)'], [['30', 30], '1.3e-05', '4.333e-07', '1.3e-05', '4.333e-07', 'types.py:43(__lt__)'], [['87', 87], '0.00019', '2.184e-06', '0.01484', '0.0001705', 'sre_compile.py:552(_code)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'utils.py:247(cached_property)'], [['1', 1], '1e-06', '1e-06', '2e-06', '2e-06', 'threading.py:285(__enter__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'config.py:21(NumbaWarning)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:328(CmpOpGe)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', '&lt;string&gt;:1(Attribute)'], [['1', 1], '2.1e-05', '2.1e-05', '2.1e-05', '2.1e-05', 'format.py:149(&lt;module&gt;)'], [['1', 1], '3.7e-05', '3.7e-05', '3.8e-05', '3.8e-05', 'errors.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '3e-06', '3e-06', 'driver.py:162(__new__)'], [['16620', 16620], '0.007406', '4.456e-07', '0.007406', '4.456e-07', '~:0(&lt;max&gt;)'], [['1', 1], '0.000243', '0.000243', '0.000322', '0.000322', 'cmd.py:5(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', '_version.py:9(get_versions)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:82(NodeVisitor)'], [['5', 5], '3.5e-05', '7e-06', '3.5e-05', '7e-06', 'getlimits.py:245(__init__)'], [['2', 2], '7e-06', '3.5e-06', '0.000174', '8.7e-05', 'targets.py:112(from_default_triple)'], [['2', 2], '0.000474', '0.000237', '0.000522', '0.000261', 'executionengine.py:16(create_mcjit_compiler)'], [['38', 38], '2.1e-05', '5.526e-07', '8.7e-05', '2.289e-06', 'imputils.py:51(decorate)'], [['8', 8], '4e-06', '5e-07', '0.007055', '0.0008819', 'typeconv.py:78(promote_unsafe)'], [['1', 1], '2.4e-05', '2.4e-05', '2.4e-05', '2.4e-05', 'driver.py:4(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '4e-06', '4e-06', 'randomdecl.py:83(Numpy_hypergeometric)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:897(RangeIteratorType)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'listdecl.py:35(SortedBuiltin)'], [['270', 270], '8.8e-05', '3.259e-07', '8.8e-05', '3.259e-07', '~:0(&lt;numpy.core.multiarray.add_docstring&gt;)'], [['1', 1], '0.001001', '0.001001', '0.08825', '0.08825', '__init__.py:1(&lt;module&gt;)'], [['18098', 18098], '0.00233', '1.287e-07', '0.00233', '1.287e-07', 'abstracttypes.py:88(key)'], [['6', 6], '4e-06', '6.667e-07', '3.2e-05', '5.333e-06', 'builder.py:148(_branch_helper)'], [['1', 1], '0.000321', '0.000321', '0.00033', '0.00033', 'module.py:69(get_function)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '&lt;_exec&gt;:2(&lt;module&gt;)'], [['87', 87], '0.000179', '2.057e-06', '0.000179', '2.057e-06', '~:0(&lt;_sre.compile&gt;)'], [['1', 1], '0.001886', '0.001886', '0.002586', '0.002586', 'controller.py:11(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'nvvm.py:9(NVVM)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'interpreter.py:63(YieldPoint)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npydecl.py:717(MatMul)'], [['1', 1], '0.000293', '0.000293', '0.000312', '0.000312', 'exec_command.py:52(&lt;module&gt;)'], [['1', 1], '5.5e-05', '5.5e-05', '5.8e-05', '5.8e-05', 'archive_util.py:4(&lt;module&gt;)'], [['1', 1], '1.1e-05', '1.1e-05', '1.2e-05', '1.2e-05', 'dataflow.py:613(BlockInfo)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'records.py:298(recarray)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', '&lt;string&gt;:1(_VarArgItem)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arraydecl.py:477(CmpOpEqArray)'], [['1', 1], '0', '0', '0', '0', 'values.py:270(GlobalValue)'], [['26', 26], '5.9e-05', '2.269e-06', '7.2e-05', '2.769e-06', 'types.py:344(__init__)'], [['1', 1], '1e-06', '1e-06', '9.9e-05', '9.9e-05', 'config.py:43(__init__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'deviceufunc.py:609(GUFuncSchedule)'], [['1', 1], '3.5e-05', '3.5e-05', '0.000476', '0.000476', 'util.py:16(get_platform)'], [['1', 1], '4e-05', '4e-05', '4.2e-05', '4.2e-05', '__init__.py:52(create_string_buffer)'], [['1', 1], '1e-05', '1e-05', '1.1e-05', '1.1e-05', 'registry.py:1(&lt;module&gt;)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'base.py:36(OverloadSelector)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'typeinfer.py:25(TypeVar)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'case.py:34(_ExpectedFailure)'], [['429', 429], '0.000141', '3.287e-07', '0.000199', '4.639e-07', '__init__.py:50(__get__)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', '&lt;string&gt;:1(opcode_info)'], [['1', 1], '0.000126', '0.000126', '0.009893', '0.009893', 'parallel.py:11(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'stride_tricks.py:15(DummyArray)'], [['1', 1], '4e-06', '4e-06', '1.6e-05', '1.6e-05', 'singledispatch_helpers.py:32(decorating_function)'], [['18', 18], '2.3e-05', '1.278e-06', '0.000103', '5.722e-06', 'builder.py:19(wrap)'], [['1', 1], '2.9e-05', '2.9e-05', '0.000741', '0.000741', 'atomicops.py:62(_define_nrt_decref)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'reactive_euler_with_efix_1D_constants.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'core.py:2545(MaskedIterator)'], [['24', 24], '1.3e-05', '5.417e-07', '1.5e-05', '6.25e-07', 'config.py:73(_readenv)'], [['28', 28], '2.4e-05', '8.571e-07', '4.8e-05', '1.714e-06', 'singledispatch.py:195(register)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;numpy.core.multiarray.set_typeDict&gt;)'], [['23', 23], '7.2e-05', '3.13e-06', '0.000378', '1.643e-05', 'types.py:809(__init__)'], [['8', 8], '3.4e-05', '4.25e-06', '4e-05', '5e-06', '__init__.py:472(_get_mixins_)'], [['1', 1], '4.3e-05', '4.3e-05', '4.3e-05', '4.3e-05', 'advection_1D_py.py:13(&lt;module&gt;)'], [['1', 1], '0.001752', '0.001752', '0.01061', '0.01061', 'stubs.py:3(&lt;module&gt;)'], [['1', 1], '0.000218', '0.000218', '0.000285', '0.000285', 'llvm_types.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:239(DatetimeCmpEq)'], [['1', 1], '0', '0', '0', '0', 'six.py:60(__len__)'], [['2', 2], '7e-06', '3.5e-06', '7e-06', '3.5e-06', '~:0(&lt;numpy.core.multiarray.result_type&gt;)'], [['1', 1], '4e-06', '4e-06', '3.8e-05', '3.8e-05', 'solution.py:184(is_valid)'], [['6', 6], '6e-06', '1e-06', '2.7e-05', '4.5e-06', 'instructions.py:102(&lt;genexpr&gt;)'], [['9', 9], '2e-06', '2.222e-07', '2e-06', '2.222e-07', 'instructions.py:171(return_value)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arrayobj.py:754(SliceIndexer)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:883(FileHandler)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'module.py:200(_FunctionsIterator)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'callwrapper.py:91(PyCallWrapper)'], [['8', 8], '7e-06', '8.75e-07', '0.004833', '0.0006041', 'typeconv.py:66(unsafe)'], [['1', 1], '0', '0', '0', '0', 'config.py:343(ConvertingTuple)'], [['1', 1], '0.000175', '0.000175', '0.000603', '0.000603', 'base.py:97(_load_global_helpers)'], [['1', 1], '1e-06', '1e-06', '4e-06', '4e-06', 'decorators.py:37(GUVectorize)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'burgers_1D_py.py:11(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'codegen.py:507(JITCPUCodegen)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'pythonapi.py:71(_ReflectContext)'], [['309', 309], '0.000471', '1.524e-06', '0.000741', '2.398e-06', 'sre_compile.py:428(_simple)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', '~:0(&lt;sum&gt;)'], [['1', 1], '0.000493', '0.000493', '0.001915', '0.001915', 'numerictypes.py:82(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'yacc.py:1312(GrammarError)'], [['1', 1], '0.09303', '0.09303', '0.1268', '0.1268', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:416(Module_six_moves_urllib_response)'], [['8', 8], '2.1e-05', '2.625e-06', '3.7e-05', '4.625e-06', 'context.py:290(_insert_global)'], [['6', 6], '5e-06', '8.333e-07', '5e-06', '8.333e-07', 'instructions.py:87(args)'], [['1', 1], '0', '0', '0', '0', 'arraydecl.py:149(GetItemBuffer)'], [['1', 1], '0', '0', '0', '0', 'nvvm.py:6(NvvmSupportError)'], [['23', 23], '2.3e-05', '1e-06', '2.3e-05', '1e-06', 'numpy_support.py:158(map_layout)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:766(Intrinsic_array_ravel)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'bytecode.py:177(ByteCodeInst)'], [['111', 111], '0.000412', '3.712e-06', '0.00046', '4.144e-06', '__init__.py:382(__getitem__)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:591(NdFromBuffer)'], [['1', 1], '0', '0', '0', '0', 'error.py:17(NvvmSupportError)'], [['1', 1], '0.003375', '0.003375', '0.6245', '0.6245', 'euler_1D_py.py:33(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'stubs.py:179(threadfence_system)'], [['1', 1], '7e-06', '7e-06', '0.000483', '0.000483', 'build_ext.py:37(build_ext)'], [['1', 1], '0.002196', '0.002196', '0.006826', '0.006826', 'numeric.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'devices.py:59(_DeviceContextManager)'], [['2', 2], '0.000444', '0.000222', '0.000444', '0.000222', 'targets.py:178(add_analysis_passes)'], [['8', 8], '0.000522', '6.525e-05', '0.000604', '7.55e-05', 'targets.py:21(get_host_cpu_name)'], [['302', 302], '0.000592', '1.96e-06', '0.000698', '2.311e-06', 'dylib.py:16(add_symbol)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ffi.py:46(_DeadPointer)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'core.py:753(_DomainCheckInterval)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'runner.py:28(TextTestResult)'], [['10', 10], '5e-06', '5e-07', '5e-06', '5e-07', 'ffi.py:130(_dispose)'], [['1', 1], '0.001765', '0.001765', '0.02017', '0.02017', '__init__.py:2(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'cpu.py:171(CPUTargetOptions)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'index_tricks.py:525(ndindex)'], [['6', 6], '2e-06', '3.333e-07', '2e-06', '3.333e-07', '__init__.py:104(CFunctionType)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'ast_transforms.py:10(&lt;module&gt;)'], [['133', 133], '0.000577', '4.338e-06', '0.000902', '6.782e-06', 'inspect.py:744(getargs)'], [['1', 1], '0.000133', '0.000133', '0.000777', '0.000777', 'bytecode.py:4(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ufuncbuilder.py:22(UFuncTargetOptions)'], [['4805', 4805], '0.02111', '4.393e-06', '0.02111', '4.393e-06', 'solver.py:446(_bc_lower)'], [['28', 28], '1.7e-05', '6.071e-07', '0.000163', '5.821e-06', 'values.py:97(__str__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '_inspect.py:145(&lt;lambda&gt;)'], [['21', 21], '1.4e-05', '6.667e-07', '0.0177', '0.0008431', 'castgraph.py:134(unsafe)'], [['2', 2], '4e-06', '2e-06', '4e-06', '2e-06', '~:0(&lt;method &#39;union&#39; of &#39;set&#39; objects&gt;)'], [['1', 1], '0.001853', '0.001853', '0.007614', '0.007614', 'pythonapi.py:1(&lt;module&gt;)'], [['12', 12], '1.5e-05', '1.25e-06', '9.3e-05', '7.75e-06', 'values.py:129(__hash__)'], [['4', 4], '2.6e-05', '6.5e-06', '0.000136', '3.4e-05', 'instructions.py:482(descr)'], [['19', 19], '4.9e-05', '2.579e-06', '4.9e-05', '2.579e-06', '_utils.py:9(__init__)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'types.py:1495(ClassInstanceType)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:631(NumpyFlatType)'], [['1', 1], '0', '0', '0', '0', 'tokenize.py:148(StopTokenizing)'], [['3', 3], '0.000598', '0.0001993', '0.000703', '0.0002343', 'npydecl.py:183(install_operations)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'suite.py:16(BaseTestSuite)'], [['1', 1], '9e-06', '9e-06', '9e-06', '9e-06', 'models.py:1011(DeferredStructModel)'], [['838', 838], '0.00026', '3.103e-07', '0.00026', '3.103e-07', 'imputils.py:24(lower)'], [['1', 1], '3e-06', '3e-06', '8e-06', '8e-06', 'mathdecl.py:88(Math_hypot)'], [['1', 1], '0', '0', '0', '0', 'pickle.py:1267(_EmptyClass)'], [['1', 1], '0', '0', '0', '0', 'core.py:6198(_maximum_operation)'], [['8', 8], '4e-06', '5e-07', '6e-06', '7.5e-07', 'inspect.py:181(istraceback)'], [['1', 1], '1.6e-05', '1.6e-05', '1.6e-05', '1.6e-05', 'models.py:9(DataModel)'], [['1', 1], '0.000912', '0.000912', '0.001187', '0.001187', 'npyio.py:1(&lt;module&gt;)'], [['1', 1], '0.01398', '0.01398', '0.07253', '0.07253', 'cpu.py:1(&lt;module&gt;)'], [['8', 8], '6e-06', '7.5e-07', '8e-06', '1e-06', 'inspect.py:59(isclass)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:17(NotDefinedError)'], [['3', 3], '2e-06', '6.667e-07', '0.000138', '4.6e-05', 'instructions.py:117(descr)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npyimpl.py:114(_ArrayHelper)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'registry.py:30(RewriteRegistry)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:1441(ExceptionClass)'], [['1', 1], '3.1e-05', '3.1e-05', '5.3e-05', '5.3e-05', 'twodim_base.py:3(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'callconv.py:246(_MinimalCallHelper)'], [['6', 6], '1e-05', '1.667e-06', '1.1e-05', '1.833e-06', 'types.py:1689(&lt;lambda&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:667(ArrayModel)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'module.py:191(_GlobalsIterator)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:388(PyDLL)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'polynomial.py:939(poly1d)'], [['1', 1], '7.5e-05', '7.5e-05', '8.9e-05', '8.9e-05', '_datasource.py:35(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'wrappers.py:228(UArrayArg)'], [['5692', 5692], '0.000417', '7.326e-08', '0.000417', '7.326e-08', '~:0(&lt;id&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'targets.py:52(TargetData)'], [['4804', 4804], '0.003749', '7.804e-07', '0.01259', '2.621e-06', '__init__.py:1137(debug)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:960(ArrayCTypesModel)'], [['3', 3], '9e-06', '3e-06', '5.5e-05', '1.833e-05', 'instructions.py:293(descr)'], [['6', 6], '1e-06', '1.667e-07', '5e-06', '8.333e-07', 'opcode.py:35(jrel_op)'], [['1', 1], '0', '0', '0', '0', 'api.py:18(FFIError)'], [['1', 1], '0.000745', '0.000745', '0.00083', '0.00083', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '0.000191', '0.000191', '0.000494', '0.000494', 'threading.py:1(&lt;module&gt;)'], [['1', 1], '9e-06', '9e-06', '9e-06', '9e-06', 'pickle.py:171(Pickler)'], [['1', 1], '0.000118', '0.000118', '0.000129', '0.000129', 'ffiplatform.py:1(&lt;module&gt;)'], [['46', 46], '6.4e-05', '1.391e-06', '9e-05', '1.957e-06', 'StringIO.py:258(getvalue)'], [['1', 1], '0.004053', '0.004053', '0.01101', '0.01101', 'geometry.py:556(centers)'], [['7', 7], '1e-06', '1.429e-07', '1e-06', '1.429e-07', '__future__.py:75(__init__)'], [['1', 1], '1.2e-05', '1.2e-05', '1.2e-05', '1.2e-05', 'enums.py:3(&lt;module&gt;)'], [['4', 4], '5e-06', '1.25e-06', '5e-06', '1.25e-06', '__init__.py:359(_FuncPtr)'], [['1', 1], '0.000229', '0.000229', '0.000229', '0.000229', 'fftpack.py:32(&lt;module&gt;)'], [['487', 487], '0.000213', '4.374e-07', '0.000213', '4.374e-07', '~:0(&lt;method &#39;strip&#39; of &#39;str&#39; objects&gt;)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:622(Min)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:799(Where)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;method &#39;groups&#39; of &#39;_sre.SRE_Match&#39; objects&gt;)'], [['1', 1], '3e-06', '3e-06', '5e-06', '5e-06', 'index_tricks.py:447(__init__)'], [['4804', 4804], '0.5113', '0.0001064', '6.531', '0.00136', 'solver.py:283(step_hyperbolic)'], [['1', 1], '9.3e-05', '9.3e-05', '0.00014', '0.00014', 'passmanagers.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'utils.py:1788(clear_and_catch_warnings)'], [['2', 2], '4e-06', '2e-06', '4e-06', '2e-06', 'transforms.py:71(slp_vectorize)'], [['7', 7], '1.2e-05', '1.714e-06', '0.002007', '0.0002867', 'values.py:430(descr_body)'], [['3', 3], '3e-06', '1e-06', '3e-06', '1e-06', 'codegen.py:60(_raise_if_finalized)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'io.py:79(TextIOBase)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '__init__.py:38(_RouteClassAttributeToGetattr)'], [['1', 1], '1.6e-05', '1.6e-05', '0.000219', '0.000219', '_osx_support.py:429(get_platform_osx)'], [['1', 1], '0', '0', '0', '0', '__init__.py:294(c_wchar)'], [['1', 1], '3e-06', '3e-06', '0.001586', '0.001586', 'ufunc_db.py:18(_lazy_init_db)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'targets.py:106(Target)'], [['60', 60], '0.000161', '2.683e-06', '0.000778', '1.297e-05', 'abstracttypes.py:64(_type_reconstructor)'], [['96', 96], '9.4e-05', '9.792e-07', '0.000144', '1.5e-06', 'sre_parse.py:236(_class_escape)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ConfigParser.py:192(InterpolationDepthError)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'typeconv.py:52(TypeCastingRules)'], [['1', 1], '5e-06', '5e-06', '6e-06', '6e-06', 'executionengine.py:206(__init__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'values.py:231(MDValue)'], [['8', 8], '1.9e-05', '2.375e-06', '3.2e-05', '4e-06', 'values.py:362(__repr__)'], [['1', 1], '0.000332', '0.000332', '0.000611', '0.000611', 'type_annotations.py:1(&lt;module&gt;)'], [['1', 1], '2e-05', '2e-05', '2e-05', '2e-05', '__version__.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'util.py:346(ForkAwareLocal)'], [['8', 8], '0.000135', '1.688e-05', '0.004055', '0.0005069', 'decorators.py:157(wrapper)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'static.py:1(&lt;module&gt;)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', 'abstracttypes.py:74(Type)'], [['1', 1], '6.4e-05', '6.4e-05', '6.4e-05', '6.4e-05', '_endian.py:4(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '6e-06', '6e-06', 'copy_reg.py:95(_slotnames)'], [['1', 1], '0', '0', '0', '0', 'SocketServer.py:616(ThreadingUDPServer)'], [['1', 1], '0', '0', '0', '0', 'types.py:1061(BaseAnonymousTuple)'], [['1', 1], '3e-06', '3e-06', '9e-06', '9e-06', '__init__.py:621(_removeHandlerRef)'], [['1', 1], '0.01026', '0.01026', '0.01026', '0.01026', 'transforms.py:75(_populate_module_pm)'], [['12', 12], '4.9e-05', '4.083e-06', '0.000546', '4.55e-05', 'ConfigParser.py:274(options)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'cfl.py:6(CFL)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'values.py:86(Constant)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'values.py:188(MetaDataString)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '~:0(&lt;method &#39;copy&#39; of &#39;dictproxy&#39; objects&gt;)'], [['8', 8], '6e-06', '7.5e-07', '7e-06', '8.75e-07', 'inspect.py:191(isframe)'], [['6', 6], '2e-06', '3.333e-07', '5e-06', '8.333e-07', 'values.py:216(__hash__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'values.py:474(Argument)'], [['1', 1], '0', '0', '0', '0', '__init__.py:197(c_float)'], [['1', 1], '0.000424', '0.000424', '0.00246', '0.00246', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '1.1e-05', '1.1e-05', 'six.py:89(__get__)'], [['30', 30], '3.8e-05', '1.267e-06', '0.000135', '4.5e-06', '__init__.py:205(_acquireLock)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'subprocess.py:647(Popen)'], [['1', 1], '5e-06', '5e-06', '1.7e-05', '1.7e-05', 'passmanagers.py:10(create_function_pass_manager)'], [['1', 1], '0', '0', '0', '0', 'typeinfer.py:124(Propagate)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'core.py:5833(mvoid)'], [['88', 88], '0.000371', '4.216e-06', '0.001224', '1.391e-05', 'copy.py:234(_deepcopy_tuple)'], [['4804', 4804], '0.04219', '8.782e-06', '6.629', '0.00138', 'solver.py:100(step)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'index_tricks.py:223(AxisConcatenator)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:610(NdSort)'], [['6', 6], '1.8e-05', '3e-06', '2.6e-05', '4.333e-06', 'core.py:78(function)'], [['1', 1], '0', '0', '0', '0', 'collections.py:42(GetItemSequence)'], [['54', 54], '8e-06', '1.481e-07', '8e-06', '1.481e-07', 'ffi.py:70(__enter__)'], [['1', 1], '0.000519', '0.000519', '0.000521', '0.000521', 'passmanagers.py:24(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:641(ListModel)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'threadlocal.py:9(TLStack)'], [['1', 1], '0.002957', '0.002957', '0.01825', '0.01825', 'platform.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'ir.py:330(Del)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'templates.py:619(RegistryLoader)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'compiler.py:252(CachedPTX)'], [['309', 309], '0.0001', '3.236e-07', '0.0001', '3.236e-07', 'sre_parse.py:145(__setitem__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'castgraph.py:33(CastSet)'], [['1', 1], '1.5e-05', '1.5e-05', '0.09763', '0.09763', 'cpu.py:41(init)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'listdecl.py:227(ListGe)'], [['1', 1], '0.00706', '0.00706', '0.03028', '0.03028', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'six.py:348(Module_six_moves_urllib_error)'], [['1', 1], '0.000424', '0.000424', '0.01294', '0.01294', 'shocksine.py:40(setup)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '_import_tools.py:9(PackageLoader)'], [['1', 1], '8.9e-05', '8.9e-05', '0.000246', '0.000246', 'extras.py:10(&lt;module&gt;)'], [['22', 22], '8.3e-05', '3.773e-06', '0.00121', '5.5e-05', '__init__.py:841(emit)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'compiler.py:280(ModuleCompilerPy2)'], [['8', 8], '1e-05', '1.25e-06', '8.1e-05', '1.012e-05', 'core.py:7714(getdoc)'], [['1', 1], '0', '0', '0', '0', 'core.py:130(MaskError)'], [['1', 1], '3e-06', '3e-06', '5e-06', '5e-06', 'index_tricks.py:470(__init__)'], [['1', 1], '4e-06', '4e-06', '1.1e-05', '1.1e-05', 'cmathdecl.py:43(Cmath_log)'], [['4', 4], '2e-06', '5e-07', '2e-06', '5e-07', 'cmathimpl.py:99(intrinsic_complex_unary)'], [['1', 1], '0', '0', '0', '0', 'ufuncbuilder.py:29(UFuncTarget)'], [['45', 45], '1.1e-05', '2.444e-07', '1.1e-05', '2.444e-07', '__init__.py:266(name)'], [['193', 193], '5.9e-05', '3.057e-07', '5.9e-05', '3.057e-07', '~:0(&lt;method &#39;translate&#39; of &#39;str&#39; objects&gt;)'], [['156', 156], '0.000421', '2.699e-06', '0.000505', '3.237e-06', '__init__.py:398(__setattr__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'registry.py:17(CPUDispatcher)'], [['1', 1], '0.000746', '0.000746', '0.002065', '0.002065', '__init__.py:8(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'model.py:243(NamedPointerType)'], [['1', 1], '2.6e-05', '2.6e-05', '0.000921', '0.000921', 'atomicops.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:1482(SliceType)'], [['1', 1], '0.001088', '0.001088', '0.003921', '0.003921', 'module.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:318(BaseStructType)'], [['135', 135], '0.000165', '1.222e-06', '0.000244', '1.807e-06', 'templates.py:540(register)'], [['11', 11], '1.5e-05', '1.364e-06', '0.000195', '1.773e-05', 'atomicops.py:247(_process_basic_block)'], [['1', 1], '9.7e-05', '9.7e-05', '0.000188', '0.000188', 'solver.py:3(&lt;module&gt;)'], [['140', 140], '3.4e-05', '2.429e-07', '3.4e-05', '2.429e-07', '~:0(&lt;method &#39;rstrip&#39; of &#39;str&#39; objects&gt;)'], [['1', 1], '0.00154', '0.00154', '0.001583', '0.001583', 'ufunc_db.py:46(_fill_ufunc_db)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', '&lt;string&gt;:1(ArgInfo)'], [['1', 1], '0.0021', '0.0021', '0.002102', '0.002102', 'reconstruct.py:10(&lt;module&gt;)'], [['8', 8], '1.9e-05', '2.375e-06', '2.4e-05', '3e-06', 'module.py:56(add_global)'], [['48', 48], '9e-06', '1.875e-07', '9e-06', '1.875e-07', '~:0(&lt;method &#39;remove&#39; of &#39;set&#39; objects&gt;)'], [['8', 8], '8e-06', '1e-06', '8e-06', '1e-06', 'dispatcher.py:490(get_disambiguator)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'threading.py:780(_set_ident)'], [['1', 1], '0', '0', '0', '0', 'pythonapi.py:18(_Registry)'], [['92', 92], '0.000103', '1.12e-06', '0.000269', '2.924e-06', 'values.py:174(get_reference)'], [['1', 1], '3e-06', '3e-06', '1e-05', '1e-05', 're.py:143(search)'], [['77', 77], '2.5e-05', '3.247e-07', '2.5e-05', '3.247e-07', '~:0(&lt;method &#39;endswith&#39; of &#39;str&#39; objects&gt;)'], [['7', 7], '2.4e-05', '3.429e-06', '0.000186', '2.657e-05', 'codegen.py:536(_add_module)'], [['54', 54], '9e-06', '1.667e-07', '9e-06', '1.667e-07', '__init__.py:175(&lt;lambda&gt;)'], [['1', 1], '9.2e-05', '9.2e-05', '0.000106', '0.000106', 'static_getitem.py:1(&lt;module&gt;)'], [['1', 1], '8e-06', '8e-06', '0.000118', '0.000118', 'handlers.py:740(__init__)'], [['7202', 7202], '0.002005', '2.784e-07', '0.002786', '3.868e-07', '~:0(&lt;isinstance&gt;)'], [['1', 1], '1.4e-05', '1.4e-05', '5e-05', '5e-05', 'dist.py:34(Distribution)'], [['22', 22], '0.00017', '7.727e-06', '0.00017', '7.727e-06', '~:0(&lt;method &#39;write&#39; of &#39;file&#39; objects&gt;)'], [['1', 1], '0.000258', '0.000258', '0.00057', '0.00057', 'dir_util.py:3(&lt;module&gt;)'], [['11', 11], '1.1e-05', '1e-06', '1.4e-05', '1.273e-06', 'types.py:114(__eq__)'], [['20', 20], '4e-06', '2e-07', '4e-06', '2e-07', 'lex.py:1047(TOKEN)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'sre_parse.py:216(tell)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'io.py:69(IOBase)'], [['3', 3], '9e-06', '3e-06', '9e-06', '3e-06', 'weakref.py:297(__init__)'], [['1', 1], '0', '0', '0', '0', 'templates.py:215(CallableTemplate)'], [['89', 89], '0.000351', '3.944e-06', '0.002196', '2.467e-05', 'geometry.py:237(add_dimension)'], [['6', 6], '1e-06', '1.667e-07', '1e-06', '1.667e-07', 'core.py:798(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npdatetime.py:45(TimedeltaCmpOp)'], [['12', 12], '2.7e-05', '2.25e-06', '0.000367', '3.058e-05', 'collections.py:192(copy)'], [['8', 8], '0.000107', '1.337e-05', '0.003189', '0.0003986', 'dispatcher.py:262(__init__)'], [['1', 1], '0', '0', '0', '0', 'core.py:1075(_DomainedBinaryOperation)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:339(NumberClass)'], [['1', 1], '3.3e-05', '3.3e-05', '3.5e-05', '3.5e-05', 'options.py:3(&lt;module&gt;)'], [['1', 1], '2.8e-05', '2.8e-05', '2.8e-05', '2.8e-05', 'defchararray.py:1669(chararray)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'nosetester.py:128(NoseTester)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'info.py:148(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'castgraph.py:72(TypeGraph)'], [['1', 1], '0.00257', '0.00257', '0.03027', '0.03027', 'cc.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:286(Decl)'], [['1', 1], '3.3e-05', '3.3e-05', '7.4e-05', '7.4e-05', 'os.py:35(_get_exports_list)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'compiler.py:18(Flags)'], [['5', 5], '7.5e-05', '1.5e-05', '0.000127', '2.54e-05', 'builtins.py:157(&lt;genexpr&gt;)'], [['6', 6], '6.1e-05', '1.017e-05', '9.1e-05', '1.517e-05', 'lowering.py:26(_rebuild_env)'], [['1', 1], '2e-05', '2e-05', '0.000146', '0.000146', '_polybase.py:8(&lt;module&gt;)'], [['1', 1], '0.000251', '0.000251', '0.000651', '0.000651', '__init__.py:3(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'typeinfer.py:427(DelItemConstraint)'], [['1', 1], '0.00155', '0.00155', '0.006508', '0.006508', 'config.py:25(&lt;module&gt;)'], [['1', 1], '0.000812', '0.000812', '0.003356', '0.003356', '__init__.py:44(&lt;module&gt;)'], [['76', 76], '0.000816', '1.074e-05', '0.000866', '1.139e-05', 'npyimpl.py:370(_ufunc_db_function)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:459(FuncCall)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'core.py:6188(__init__)'], [['1', 1], '0.000109', '0.000109', '0.000368', '0.000368', 'builder.py:83(IRBuilder)'], [['1', 1], '0', '0', '0', '0', 'ir.py:268(StaticSetItem)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'lex.py:114(Lexer)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'contextlib.py:9(GeneratorContextManager)'], [['6', 6], '4.9e-05', '8.167e-06', '0.0103', '0.001717', 'codegen.py:327(_unserialize)'], [['1', 1], '6.7e-05', '6.7e-05', '6.7e-05', '6.7e-05', 'values.py:369(Function)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'testing.py:362(ParallelTestResult)'], [['2', 2], '0', '0', '0', '0', 'types.py:1178(key)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', 'ffi.py:52(OutputString)'], [['1', 1], '1.1e-05', '1.1e-05', '1.4e-05', '1.4e-05', 'transforms.py:11(PassManagerBuilder)'], [['4', 4], '1.5e-05', '3.75e-06', '4.5e-05', '1.125e-05', 'six.py:89(__get__)'], [['13', 13], '0.007575', '0.0005827', '0.007575', '0.0005827', '~:0(&lt;method &#39;read&#39; of &#39;file&#39; objects&gt;)'], [['1', 1], '0', '0', '0', '0', 'index_tricks.py:452(CClass)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'kernelapi.py:46(FakeCUDAConst)'], [['1', 1], '0.000192', '0.000192', '0.00213', '0.00213', 'main.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', '~:0(&lt;_cffi_backend.new_array_type&gt;)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:138(TimedeltaFloorDiv)'], [['1', 1], '0.000568', '0.000568', '0.000635', '0.000635', '__init__.py:24(&lt;module&gt;)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:161(Assignment)'], [['6', 6], '7.7e-05', '1.283e-05', '0.000412', '6.867e-05', 'utils.py:430(total_ordering)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'templates.py:167(FunctionTemplate)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'types.py:176(IntType)'], [['64', 64], '5.1e-05', '7.969e-07', '5.1e-05', '7.969e-07', '~:0(&lt;sys._getframe&gt;)'], [['14806', 14806], '0.002095', '1.415e-07', '0.002095', '1.415e-07', 'geometry.py:565(lower)'], [['1', 1], '1e-05', '1e-05', '1e-05', '1e-05', '_inspect.py:7(&lt;module&gt;)'], [['23', 23], '0.000126', '5.478e-06', '0.000688', '2.991e-05', 'types.py:895(__init__)'], [['1', 1], '8.4e-05', '8.4e-05', '0.000185', '0.000185', 'transforms.py:1(&lt;module&gt;)'], [['1', 1], '0.003577', '0.003577', '0.0692', '0.0692', '__init__.py:3(&lt;module&gt;)'], [['5', 5], '5.1e-05', '1.02e-05', '0.00011', '2.2e-05', 'model.py:518(global_cache)'], [['8', 8], '0.000294', '3.675e-05', '0.000704', '8.8e-05', 'dispatcher.py:568(__init__)'], [['1', 1], '4.4e-05', '4.4e-05', '4.4e-05', '4.4e-05', 'socket.py:183(_socketobject)'], [['4', 4], '4e-06', '1e-06', '6e-06', '1.5e-06', 'values.py:254(get_reference)'], [['30', 30], '5.3e-05', '1.767e-06', '5.4e-05', '1.8e-06', 'numerictypes.py:443(_add_array_type)'], [['88', 88], '9.3e-05', '1.057e-06', '0.000109', '1.239e-06', 'geometry.py:682(dimensions)'], [['11', 11], '1.9e-05', '1.727e-06', '0.002362', '0.0002147', 'controller.py:415(log_info)'], [['1', 1], '4e-06', '4e-06', '1.3e-05', '1.3e-05', 'testing.py:79(TestLoader)'], [['1', 1], '0', '0', '0', '0', 'solver.py:152(_check_cfl_settings)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:545(NdArange)'], [['1', 1], '7.8e-05', '7.8e-05', '7.9e-05', '7.9e-05', 'polyutils.py:45(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:1135(UniTupleIter)'], [['1', 1], '0.000207', '0.000207', '0.001906', '0.001906', 'polynomial.py:4(&lt;module&gt;)'], [['11', 11], '3.3e-05', '3e-06', '0.000474', '4.309e-05', '__init__.py:1246(makeRecord)'], [['1', 1], '2.5e-05', '2.5e-05', '0.000184', '0.000184', 'builtins.py:590(register_number_classes)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arraydecl.py:390(ArrayFlagsAttribute)'], [['92', 92], '7.3e-05', '7.935e-07', '0.000148', '1.609e-06', 'values.py:37(_wrapname)'], [['1', 1], '8.8e-05', '8.8e-05', '9.7e-05', '9.7e-05', 'suite.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'threading.py:288(__exit__)'], [['114', 114], '0.000158', '1.386e-06', '0.000158', '1.386e-06', 'types.py:186(__str__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'deviceufunc.py:422(DeviceGUFuncVectorize)'], [['1058', 1058], '0.000127', '1.2e-07', '0.000127', '1.2e-07', '~:0(&lt;ord&gt;)'], [['185', 185], '3.3e-05', '1.784e-07', '3.3e-05', '1.784e-07', '~:0(&lt;thread.get_ident&gt;)'], [['1', 1], '0.000398', '0.000398', '0.002049', '0.002049', 'randomdecl.py:1(&lt;module&gt;)'], [['1', 1], '3.6e-05', '3.6e-05', '7.8e-05', '7.8e-05', 'six.py:662(exec_)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'core.py:197(Builder)'], [['88', 88], '0.000182', '2.068e-06', '0.03306', '0.0003756', 're.py:192(compile)'], [['42', 42], '3.7e-05', '8.81e-07', '5.9e-05', '1.405e-06', 'atomicops.py:252(_examine_refct_op)'], [['1', 1], '0', '0', '0', '0', 'SocketServer.py:629(ThreadingUnixDatagramServer)'], [['1', 1], '9e-06', '9e-06', '9e-06', '9e-06', 'driver.py:777(MemoryPointer)'], [['3', 3], '1e-06', '3.333e-07', '1e-06', '3.333e-07', '_osx_support.py:455(&lt;genexpr&gt;)'], [['1', 1], '4e-06', '4e-06', '7e-06', '7e-06', 'cmathdecl.py:67(Cmath_rect)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', '&lt;string&gt;:1(_BoxContext)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:377(TupleAdd)'], [['1', 1], '4e-06', '4e-06', '1.6e-05', '1.6e-05', 'builtins.py:640(Round)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', 'objmode.py:39(PyLower)'], [['30', 30], '0.01224', '0.0004079', '0.01516', '0.0005055', 'collections.py:293(namedtuple)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:508(NdIdentity)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', 'solution.py:15(Solution)'], [['1', 1], '3.4e-05', '3.4e-05', '0.000199', '0.000199', 'npydecl.py:384(register_number_classes)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'kernelapi.py:16(Dim3)'], [['3', 3], '4e-06', '1.333e-06', '6e-06', '2e-06', 'posixpath.py:52(isabs)'], [['420/417', 420], '0.000771', '1.849e-06', '0.005675', '1.361e-05', 'abstracttypes.py:54(__call__)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'dispatcher.py:253(Dispatcher)'], [['1', 1], '3e-06', '3e-06', '0.00103', '0.00103', 'random.py:91(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'passmanagers.py:22(ModulePassManager)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'tempfile.py:383(_TemporaryFileWrapper)'], [['1', 1], '1.6e-05', '1.6e-05', '0.000858', '0.000858', 'quicksort.py:2(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'passmanagers.py:14(PassManager)'], [['1', 1], '3e-06', '3e-06', '5.2e-05', '5.2e-05', 'model.py:73(build_backend_type)'], [['37', 37], '1.1e-05', '2.973e-07', '3.6e-05', '9.73e-07', '~:0(&lt;method &#39;setdefault&#39; of &#39;dict&#39; objects&gt;)'], [['157', 157], '8.5e-05', '5.414e-07', '8.5e-05', '5.414e-07', '~:0(&lt;method &#39;get&#39; of &#39;dictproxy&#39; objects&gt;)'], [['1', 1], '0.000185', '0.000185', '0.001488', '0.001488', 'decoder.py:2(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'manager.py:10(__init__)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'model.py:252(ArrayType)'], [['10', 10], '3.5e-05', '3.5e-06', '3.5e-05', '3.5e-06', '~:0(&lt;method &#39;__deepcopy__&#39; of &#39;numpy.generic&#39; objects&gt;)'], [['1', 1], '1.4e-05', '1.4e-05', '4.4e-05', '4.4e-05', 'builtins.py:294(UnaryNot)'], [['1', 1], '0', '0', '0', '0', 'errors.py:78(ByteCodeSupportError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'autotune.py:19(OccupancyThreadKey)'], [['1', 1], '4.9e-05', '4.9e-05', '5.6e-05', '5.6e-05', 'devices.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'models.py:727(RecordModel)'], [['1', 1], '9e-06', '9e-06', '9e-06', '9e-06', 'driver.py:447(Context)'], [['66', 66], '0.000209', '3.167e-06', '0.009876', '0.0001496', '~:0(&lt;map&gt;)'], [['1', 1], '2e-06', '2e-06', '3e-06', '3e-06', 'bytecode.py:159(_as_opcodes)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'difflib.py:764(Differ)'], [['2', 2], '7e-06', '3.5e-06', '5e-05', '2.5e-05', 'builder.py:566(atomic_rmw)'], [['75', 75], '6.8e-05', '9.067e-07', '6.8e-05', '9.067e-07', '_inspect.py:133(strseq)'], [['1020', 1020], '0.000237', '2.324e-07', '0.000237', '2.324e-07', 'collections.py:78(__iter__)'], [['134', 134], '2.1e-05', '1.567e-07', '2.1e-05', '1.567e-07', 'types.py:188(key)'], [['1', 1], '0.000528', '0.000528', '0.001285', '0.001285', 'function_base.py:1(&lt;module&gt;)'], [['1', 1], '4e-06', '4e-06', '6e-06', '6e-06', 'hermite_e.py:1789(HermiteE)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'suite.py:252(_ErrorHolder)'], [['1', 1], '0', '0', '0', '0', 'value.py:71(StorageClass)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'testing.py:27(TestLister)'], [['1', 1], '4e-06', '4e-06', '2.1e-05', '2.1e-05', 'instructions.py:255(__init__)'], [['14453', 14453], '0.1643', '1.137e-05', '0.1643', '1.137e-05', '~:0(&lt;numpy.core.multiarray.array&gt;)'], [['1', 1], '1.1e-05', '1.1e-05', '1.4e-05', '1.4e-05', 'cparser.py:99(__init__)'], [['1', 1], '0.00013', '0.00013', '0.000163', '0.000163', '_iotools.py:3(&lt;module&gt;)'], [['41', 41], '8e-06', '1.951e-07', '8e-06', '1.951e-07', '~:0(&lt;iter&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:845(UniTupleIter)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:319(StoreMap)'], [['2', 2], '1e-05', '5e-06', '5.3e-05', '2.65e-05', 'instructions.py:563(descr)'], [['40', 40], '3e-05', '7.5e-07', '5.2e-05', '1.3e-06', 'castgraph.py:65(__iter__)'], [['1', 1], '2e-06', '2e-06', '6e-06', '6e-06', 'randomdecl.py:122(Random_unary_distribution)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arrayobj.py:626(IntegerArrayIndexer)'], [['28', 28], '4e-06', '1.429e-07', '4e-06', '1.429e-07', 'common.py:13(_decode_string)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:193(Break)'], [['2', 2], '7e-06', '3.5e-06', '7e-06', '3.5e-06', '~:0(&lt;_curses.tigetnum&gt;)'], [['44', 44], '1.5e-05', '3.409e-07', '1.5e-05', '3.409e-07', 'ConfigParser.py:567(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:783(While)'], [['2', 2], '1e-05', '5e-06', '4.7e-05', '2.35e-05', 'state.py:169(is_valid)'], [['1', 1], '0.000514', '0.000514', '0.000574', '0.000574', 'context.py:1(&lt;module&gt;)'], [['1', 1], '1.2e-05', '1.2e-05', '1.2e-05', '1.2e-05', '&lt;string&gt;:1(FuncAttr)'], [['140', 140], '2.7e-05', '1.929e-07', '2.7e-05', '1.929e-07', 'values.py:162(name)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'templates.py:429(_OverloadMethodTemplate)'], [['79', 79], '0.000197', '2.494e-06', '0.000469', '5.937e-06', '__init__.py:105(__setitem__)'], [['1', 1], '0', '0', '0', '0', 'core.py:783(__init__)'], [['1', 1], '0.000275', '0.000275', '0.000376', '0.000376', 'defmatrix.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'ir.py:621(Block)'], [['8', 8], '3e-06', '3.75e-07', '3e-06', '3.75e-07', 'values.py:350(__init__)'], [['491', 491], '0.00038', '7.739e-07', '0.00038', '7.739e-07', 'six.py:180(find_module)'], [['58', 58], '0.000169', '2.914e-06', '0.000169', '2.914e-06', '__init__.py:501(cast)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'abstracttypes.py:267(IteratorType)'], [['87', 87], '7.9e-05', '9.08e-07', '7.9e-05', '9.08e-07', 'sre_parse.py:67(__init__)'], [['7', 7], '7e-06', '1e-06', '2.2e-05', '3.143e-06', '__init__.py:367(__getattr__)'], [['14', 14], '5e-05', '3.571e-06', '6.6e-05', '4.714e-06', '__init__.py:147(_check_size)'], [['1', 1], '4e-06', '4e-06', '1.1e-05', '1.1e-05', 'mathdecl.py:57(Math_converter)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:426(FileAST)'], [['1', 1], '2.7e-05', '2.7e-05', '0.0009', '0.0009', 'sysconfig.py:10(&lt;module&gt;)'], [['1', 1], '1e-05', '1e-05', '0.000197', '0.000197', 'atomicops.py:29(_define_nrt_meminfo_data)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:384(Enumerator)'], [['1', 1], '0.001079', '0.001079', '0.001092', '0.001092', '__init__.py:11(&lt;module&gt;)'], [['1', 1], '1.1e-05', '1.1e-05', '1.2e-05', '1.2e-05', 'passmanagers.py:35(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npyio.py:40(BagObj)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npdatetime.py:251(DatetimeCmpLE)'], [['11', 11], '5e-06', '4.545e-07', '5e-06', '4.545e-07', 'process.py:59(current_process)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'instructions.py:556(AtomicRMW)'], [['7', 7], '4e-06', '5.714e-07', '4e-06', '5.714e-07', '~:0(&lt;_weakref.proxy&gt;)'], [['3', 3], '1e-05', '3.333e-06', '7.5e-05', '2.5e-05', 'posixpath.py:358(abspath)'], [['100171', 100171], '0.02808', '2.804e-07', '0.05747', '5.737e-07', '~:0(&lt;getattr&gt;)'], [['1', 1], '5e-06', '5e-06', '0.07263', '0.07263', 'atomicops.py:171(compile_nrt_functions)'], [['222', 222], '0.000107', '4.82e-07', '0.000107', '4.82e-07', 'geometry.py:230(_clear_cached_values)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'contextlib.py:132(closing)'], [['6', 6], '1.4e-05', '2.333e-06', '1.4e-05', '2.333e-06', '~:0(&lt;method &#39;_insert&#39; of &#39;_dispatcher.Dispatcher&#39; objects&gt;)'], [['225', 225], '0.000273', '1.213e-06', '0.00034', '1.511e-06', 'sre_parse.py:268(_escape)'], [['2', 2], '2e-06', '1e-06', '3e-06', '1.5e-06', 'types.py:372(__eq__)'], [['74', 74], '0.000179', '2.419e-06', '0.000247', '3.338e-06', 'threading.py:147(acquire)'], [['4805', 4805], '0.01985', '4.132e-06', '0.01985', '4.132e-06', 'solver.py:483(_bc_upper)'], [['23', 23], '4.2e-05', '1.826e-06', '0.000112', '4.87e-06', 'ffi.py:111(close)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'fnmatch.py:11(&lt;module&gt;)'], [['4', 4], '0', '0', '0', '0', 'types.py:139(__str__)'], [['1', 1], '1.5e-05', '1.5e-05', '1.6e-05', '1.6e-05', 'numerictypes.py:379(_set_up_aliases)'], [['9655', 9655], '0.01222', '1.266e-06', '0.01222', '1.266e-06', '~:0(&lt;numpy.core.multiarray.empty&gt;)'], [['21', 21], '4.3e-05', '2.048e-06', '0.000282', '1.343e-05', 'values.py:461(__init__)'], [['1', 1], '2e-06', '2e-06', '7e-06', '7e-06', 'randomdecl.py:96(Numpy_gamma)'], [['1', 1], '0', '0', '0', '0', '~:0(&lt;numba.runtime._nrt_python.memsys_set_atomic_cas&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'npydecl.py:21(Numpy_rules_ufunc)'], [['1', 1], '6.5e-05', '6.5e-05', '0.000554', '0.000554', 'encoder.py:2(&lt;module&gt;)'], [['22278/21897', 22278], '0.003634', '1.66e-07', '0.003727', '1.702e-07', '~:0(&lt;len&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'handlers.py:432(SocketHandler)'], [['8', 8], '1.4e-05', '1.75e-06', '6.6e-05', '8.25e-06', 'dispatcher.py:484(get_source_stamp)'], [['2', 2], '0.006652', '0.003326', '0.006661', '0.00333', 'targets.py:145(create_target_machine)'], [['1', 1], '0', '0', '0', '0', 'codegen.py:373(JITCodeLibrary)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', '_version.py:7(&lt;module&gt;)'], [['10', 10], '3.1e-05', '3.1e-06', '5e-05', '5e-06', '__init__.py:1119(__init__)'], [['1', 1], '0', '0', '0', '0', 'context.py:6(Context)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'shallow_1D_py.py:30(&lt;module&gt;)'], [['1', 1], '1.5e-05', '1.5e-05', '0.000275', '0.000275', 'atomicops.py:122(_define_atomic_cas)'], [['2', 2], '1e-06', '5e-07', '1e-06', '5e-07', 'instructions.py:268(rhs)'], [['1', 1], '8e-06', '8e-06', '0.001841', '0.001841', 'ConfigParser.py:285(read)'], [['1', 1], '0.001418', '0.001418', '0.008747', '0.008747', 'nvvm.py:3(&lt;module&gt;)'], [['11', 11], '7.3e-05', '6.636e-06', '0.007567', '0.0006879', 'geometry.py:822(__deepcopy__)'], [['1', 1], '0', '0', '0', '0', 'arraydecl.py:335(StaticGetItemArray)'], [['2', 2], '2e-06', '1e-06', '7e-06', '3.5e-06', 'imputils.py:75(decorate)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'handlers.py:950(NTEventLogHandler)'], [['54', 54], '2.1e-05', '3.889e-07', '2.1e-05', '3.889e-07', '~:0(&lt;_ctypes.byref&gt;)'], [['44', 44], '7e-06', '1.591e-07', '7e-06', '1.591e-07', 'builtins.py:135(&lt;genexpr&gt;)'], [['19', 19], '1e-05', '5.263e-07', '7e-05', '3.684e-06', 'ffi.py:154(__del__)'], [['2', 2], '7e-06', '3.5e-06', '7e-06', '3.5e-06', 'getlimits.py:270(max)'], [['5', 5], '4e-06', '8e-07', '4e-06', '8e-07', '~:0(&lt;method &#39;copy&#39; of &#39;dict&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;math.sqrt&gt;)'], [['1', 1], '0', '0', '0', '0', 'models.py:889(ZipType)'], [['1', 1], '0', '0', '0', '0', 'types.py:1340(EphemeralPointer)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '_version.py:7(&lt;module&gt;)'], [['1', 1], '7e-06', '7e-06', '3.8e-05', '3.8e-05', 'driver.py:290(TrashService)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:58(TypingError)'], [['11', 11], '1.8e-05', '1.636e-06', '4.9e-05', '4.455e-06', 'posixpath.py:97(splitext)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'stubs.py:327(const)'], [['1', 1], '0', '0', '0', '0', '__init__.py:71(ProcessError)'], [['40', 40], '3.2e-05', '8e-07', '7.1e-05', '1.775e-06', 'imputils.py:38(_decorate_attr)'], [['1', 1], '1.9e-05', '1.9e-05', '6.4e-05', '6.4e-05', 'sysconfig.py:395(_init_posix)'], [['12', 12], '9e-06', '7.5e-07', '9e-06', '7.5e-07', '~:0(&lt;time.time&gt;)'], [['1', 1], '0', '0', '0', '0', 'core.py:812(_DomainGreater)'], [['1', 1], '6e-06', '6e-06', '0.01211', '0.01211', 'geometry.py:311(_compute_p_centers)'], [['1', 1], '1.3e-05', '1.3e-05', '3.9e-05', '3.9e-05', 'linalg.py:76(_determine_error_states)'], [['1', 1], '1.1e-05', '1.1e-05', '2.5e-05', '2.5e-05', 'singledispatch.py:158(singledispatch)'], [['1', 1], '0', '0', '0', '0', 'npyimpl.py:87(_ArrayIndexingHelper)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:1365(Object)'], [['1', 1], '0.000576', '0.000576', '0.003436', '0.003436', 'dist.py:5(&lt;module&gt;)'], [['335', 335], '0.000108', '3.224e-07', '0.000137', '4.09e-07', 'common.py:7(_encode_string)'], [['75', 75], '0.00021', '2.8e-06', '0.000367', '4.893e-06', '_utils.py:29(deduplicate)'], [['133', 133], '8e-05', '6.015e-07', '8e-05', '6.015e-07', '~:0(&lt;method &#39;extend&#39; of &#39;list&#39; objects&gt;)'], [['1', 1], '4e-06', '4e-06', '1.9e-05', '1.9e-05', 'instructions.py:363(descr)'], [['6', 6], '7e-06', '1.167e-06', '7e-06', '1.167e-06', 'values.py:177(function_type)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arraydecl.py:348(RecordAttribute)'], [['27', 27], '3.6e-05', '1.333e-06', '0.000131', '4.852e-06', 'mathimpl.py:117(unary_math_int_impl)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', '~:0(&lt;_hashlib.openssl_md5&gt;)'], [['1', 1], '0.00113', '0.00113', '0.005222', '0.005222', 'api.py:3(&lt;module&gt;)'], [['1', 1], '0.003493', '0.003493', '0.1017', '0.1017', 'sigutils.py:1(&lt;module&gt;)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'types.py:797(Buffer)'], [['1', 1], '1.6e-05', '1.6e-05', '2.3e-05', '2.3e-05', 'targets.py:58(__str__)'], [['8', 8], '0.000274', '3.425e-05', '0.000274', '3.425e-05', 'codegen.py:295(_object_getbuffer_hook)'], [['1', 1], '0.000227', '0.000227', '0.000258', '0.000258', 'kernelapi.py:4(&lt;module&gt;)'], [['1', 1], '1.9e-05', '1.9e-05', '2.3e-05', '2.3e-05', 'cffiimpl.py:3(&lt;module&gt;)'], [['1', 1], '9e-06', '9e-06', '9e-06', '9e-06', 'sigparse.py:1(&lt;module&gt;)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'cgutils.py:238(Structure)'], [['1', 1], '4.8e-05', '4.8e-05', '4.9e-05', '4.9e-05', 'nvvm.py:4(&lt;module&gt;)'], [['6', 6], '1.4e-05', '2.333e-06', '0.000105', '1.75e-05', 'mathimpl.py:121(unary_math_intr)'], [['149', 149], '7e-05', '4.698e-07', '7e-05', '4.698e-07', 'threading.py:64(_note)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'polyutils.py:58(RankWarning)'], [['480', 480], '0.000749', '1.56e-06', '0.002764', '5.758e-06', 'abstracttypes.py:42(_intern)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '_datasource.py:154(DataSource)'], [['1', 1], '0', '0', '0', '0', 'tokenize.py:179(Untokenizer)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'numeric.py:2802(errstate)'], [['1', 1], '2.2e-05', '2.2e-05', '2.2e-05', '2.2e-05', 'pickle.py:833(Unpickler)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:84(GetIter)'], [['13', 13], '1.7e-05', '1.308e-06', '7.9e-05', '6.077e-06', '__init__.py:1290(addHandler)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', '&lt;string&gt;:1(_UFuncLoopSpec)'], [['24', 24], '3.8e-05', '1.583e-06', '0.000314', '1.308e-05', 'utils.py:415(_is_inherited_from_object)'], [['1', 1], '0', '0', '0', '0', 'threading.py:1088(_set_daemon)'], [['5', 5], '1.8e-05', '3.6e-06', '0.00013', '2.6e-05', 'builder.py:503(ret)'], [['5', 5], '3e-06', '6e-07', '3e-06', '6e-07', 'six.py:177(_get_module)'], [['7', 7], '2.8e-05', '4e-06', '2.8e-05', '4e-06', 'passmanagers.py:48(finalize)'], [['1', 1], '0', '0', '0', '0', 'ConfigParser.py:204(ParsingError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '_utils.py:4(DuplicatedNameError)'], [['1', 1], '7.4e-05', '7.4e-05', '7.8e-05', '7.8e-05', 'imputils.py:3(&lt;module&gt;)'], [['261', 261], '0.001178', '4.513e-06', '0.03361', '0.0001288', 're.py:230(_compile)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'acoustics_mapped_2D_constants.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'subprocess.py:400(CalledProcessError)'], [['2', 2], '2.2e-05', '1.1e-05', '6.3e-05', '3.15e-05', 'executionengine.py:152(_raw_object_cache_notify)'], [['1', 1], '0.000148', '0.000148', '0.000159', '0.000159', 'funcdesc.py:3(&lt;module&gt;)'], [['1', 1], '6e-06', '6e-06', '1.3e-05', '1.3e-05', 'mathdecl.py:10(Math_unary)'], [['3', 3], '3.9e-05', '1.3e-05', '0.000107', '3.567e-05', 'instructions.py:64(__init__)'], [['1', 1], '0', '0', '0', '0', 'ascii.py:34(StreamConverter)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'info.py:34(&lt;module&gt;)'], [['2', 2], '1.6e-05', '8e-06', '6.7e-05', '3.35e-05', 'builder.py:571(cmpxchg)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'callconv.py:138(MinimalCallConv)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:320(FCMPInstr)'], [['1', 1], '0', '0', '0', '0', 'callconv.py:430(ErrorModel)'], [['2', 2], '1e-06', '5e-07', '1e-06', '5e-07', '_osx_support.py:83(_get_system_version)'], [['1', 1], '0', '0', '0', '0', 'case.py:45(_UnexpectedSuccess)'], [['1', 1], '1.8e-05', '1.8e-05', '0.000771', '0.000771', 'initfini.py:1(&lt;module&gt;)'], [['1', 1], '0.001515', '0.001515', '0.001715', '0.001715', '__init__.py:3(&lt;module&gt;)'], [['1', 1], '0.000823', '0.000823', '0.001656', '0.001656', 'subprocess.py:387(&lt;module&gt;)'], [['11', 11], '4.8e-05', '4.364e-06', '7.5e-05', '6.818e-06', 'atomicops.py:287(_move_and_group_decref_after_all_increfs)'], [['153', 153], '5e-05', '3.268e-07', '5e-05', '3.268e-07', 'templates.py:568(Template)'], [['19', 19], '9e-06', '4.737e-07', '9e-06', '4.737e-07', '__init__.py:75(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:101(MovedModule)'], [['2', 2], '3e-06', '1.5e-06', '0.004772', '0.002386', 'typeconv.py:92(unsafe_unsafe)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:193(Module)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'encoder.py:70(JSONEncoder)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npydecl.py:237(NumpyRulesUnaryArrayOperator)'], [['1', 1], '3e-06', '3e-06', '1.9e-05', '1.9e-05', 'codegen.py:514(_customize_tm_options)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ConfigParser.py:558(_Chainmap)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'service.py:62(HelloService)'], [['41', 41], '6.1e-05', '1.488e-06', '6.1e-05', '1.488e-06', 'ffi.py:104(__init__)'], [['1', 1], '0', '0', '0', '0', 'types.py:781(ArrayIterator)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:588(NamedInitializer)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'api.py:53(Event)'], [['1', 1], '2e-06', '2e-06', '5e-06', '5e-06', 'core.py:6023(__new__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'testing.py:262(IntPool)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'stubs.py:59(blockDim)'], [['68', 68], '6.8e-05', '1e-06', '0.00075', '1.103e-05', 'manager.py:16(register)'], [['8', 8], '2.2e-05', '2.75e-06', '0.000114', '1.425e-05', 'values.py:274(__init__)'], [['1', 1], '3.8e-05', '3.8e-05', '0.003589', '0.003589', 'parallel.py:381(_make_cas_function)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ufuncbuilder.py:173(UFuncBuilder)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'numeric.py:2865(__init__)'], [['4805', 4805], '0.01333', '2.774e-06', '0.01671', '3.478e-06', 'state.py:256(get_qbc_from_q)'], [['1', 1], '6e-06', '6e-06', '7e-06', '7e-06', 'legendre.py:1769(Legendre)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:516(NumbaFunction)'], [['297', 297], '0.000811', '2.731e-06', '0.004285', '1.443e-05', 'sre_compile.py:228(_compile_charset)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arrayobj.py:599(IntegerIndexer)'], [['1', 1], '6e-05', '6e-05', '8.2e-05', '8.2e-05', 'contextlib.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'pickle.py:62(PicklingError)'], [['1', 1], '0.000501', '0.000501', '0.000759', '0.000759', '__init__.py:1(&lt;module&gt;)'], [['6', 6], '0', '0', '0', '0', 'values.py:517(function)'], [['16', 16], '3.9e-05', '2.437e-06', '0.000116', '7.25e-06', 'ffi.py:163(__hash__)'], [['1', 1], '0.000213', '0.000213', '0.000497', '0.000497', 'util.py:5(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'decorators.py:27(_DisableJitWrapper)'], [['1', 1], '0', '0', '0', '0', 'dispatcher.py:14(CUDATargetOptions)'], [['1', 1], '1e-06', '1e-06', '6e-06', '6e-06', 'api.py:263(cast)'], [['1', 1], '0', '0', '0', '0', 'typeinfer.py:238(StaticGetItemConstraint)'], [['2', 2], '1e-06', '5e-07', '1e-06', '5e-07', '__init__.py:429(__init__)'], [['1', 1], '0', '0', '0', '0', 'pythonapi.py:90(NativeValue)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '__init__.py:347(BoundArguments)'], [['3', 3], '7.1e-05', '2.367e-05', '0.000262', '8.733e-05', 'rangeobj.py:20(make_range_impl)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'devicearray.py:260(DeviceNDArray)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'nrt.py:14(_Runtime)'], [['1', 1], '4.7e-05', '4.7e-05', '8.3e-05', '8.3e-05', 'c_lexer.py:16(CLexer)'], [['1', 1], '0', '0', '0', '0', 'abstracttypes.py:204(Number)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:648(NumpyNdEnumerateType)'], [['370', 370], '4.4e-05', '1.189e-07', '4.4e-05', '1.189e-07', '~:0(&lt;method &#39;pop&#39; of &#39;dict&#39; objects&gt;)'], [['1', 1], '0.002526', '0.002526', '0.003782', '0.003782', 'numpy_support.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:231(BitwiseShiftOperation)'], [['1', 1], '1.7e-05', '1.7e-05', '1.9e-05', '1.9e-05', 'pprint.py:35(&lt;module&gt;)'], [['4', 4], '9e-06', '2.25e-06', '0.000129', '3.225e-05', 'instructions.py:145(descr)'], [['14', 14], '1.8e-05', '1.286e-06', '1.8e-05', '1.286e-06', 'castgraph.py:39(__init__)'], [['2836', 2836], '0.001021', '3.6e-07', '0.002538', '8.949e-07', '~:0(&lt;method &#39;add&#39; of &#39;set&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'typeinfer.py:862(NullDebug)'], [['11', 11], '4.2e-05', '3.818e-06', '0.002274', '0.0002067', '__init__.py:1259(_log)'], [['1', 1], '0.000837', '0.000837', '0.02876', '0.02876', 'rules.py:1(&lt;module&gt;)'], [['149', 149], '3.5e-05', '2.349e-07', '3.5e-05', '2.349e-07', '~:0(&lt;method &#39;__contains__&#39; of &#39;frozenset&#39; objects&gt;)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'templates.py:529(Registry)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:832(FlatIter)'], [['176', 176], '0.00022', '1.25e-06', '0.001082', '6.148e-06', 'typeconv.py:99(_cb_update)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:732(NdEnumerate)'], [['1', 1], '0.000108', '0.000108', '0.000562', '0.000562', 'abstracttypes.py:1(&lt;module&gt;)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'devicearray.py:49(DeviceNDArrayBase)'], [['8', 8], '1.7e-05', '2.125e-06', '7.4e-05', '9.25e-06', 'decorators.py:38(jit)'], [['27', 27], '6e-05', '2.222e-06', '0.000111', '4.111e-06', 'core.py:860(__init__)'], [['3', 3], '1e-05', '3.333e-06', '4.1e-05', '1.367e-05', 'result.py:14(failfast)'], [['1', 1], '0', '0', '0', '0', 'inspect.py:630(EndOfBlock)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'looplifting.py:56(SubOffset)'], [['1', 1], '0', '0', '0', '0', 'model.py:435(UnionType)'], [['2', 2], '7e-06', '3.5e-06', '7e-06', '3.5e-06', 'transforms.py:89(_dispose)'], [['11', 11], '2e-06', '1.818e-07', '1.1e-05', '1e-06', 'opcode.py:31(name_op)'], [['29', 29], '0.000433', '1.493e-05', '0.000433', '1.493e-05', '~:0(&lt;dir&gt;)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:459(Len)'], [['1', 1], '0.000117', '0.000117', '0.000936', '0.000936', 'ee.py:1(&lt;module&gt;)'], [['153', 153], '0.002484', '1.624e-05', '0.004325', '2.827e-05', 'templates.py:567(decorate)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'transforms.py:36(CallVisitor)'], [['9804', 9804], '0.01585', '1.616e-06', '0.02018', '2.059e-06', 'geometry.py:529(delta)'], [['1', 1], '0', '0', '0', '0', 'instructions.py:375(StoreInstr)'], [['1', 1], '0.001731', '0.001731', '0.1425', '0.1425', 'decorators.py:1(&lt;module&gt;)'], [['1', 1], '6.9e-05', '6.9e-05', '0.000509', '0.000509', 'npdatetime.py:5(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '8e-06', '8e-06', '__init__.py:1385(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'values.py:460(_BaseArgument)'], [['1', 1], '1e-06', '1e-06', '5e-06', '5e-06', 'mathdecl.py:80(Math_copysign)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'threading.py:552(_Event)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:336(CmpOpNe)'], [['81', 81], '0.000137', '1.691e-06', '0.000165', '2.037e-06', 'six.py:139(__init__)'], [['20', 20], '8e-06', '4e-07', '2.1e-05', '1.05e-06', 'lex.py:1048(set_doc)'], [['79', 79], '0.001391', '1.761e-05', '0.002406', '3.046e-05', 'npydecl.py:304(_numpy_ufunc)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'numeric.py:50(ComplexWarning)'], [['1', 1], '1.5e-05', '1.5e-05', '1.5e-05', '1.5e-05', 'context.py:44(BaseContext)'], [['6', 6], '5e-06', '8.333e-07', '5e-06', '8.333e-07', 'funcdesc.py:104(llvm_cpython_wrapper_name)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:177(BinaryOp)'], [['52', 52], '1.2e-05', '2.308e-07', '1.2e-05', '2.308e-07', 'types.py:356(key)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'typeinfer.py:451(SetAttrConstraint)'], [['11', 11], '0.0102', '0.0009272', '0.01033', '0.0009389', 'module.py:11(parse_assembly)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'targets.py:240(TargetLibraryInfo)'], [['1', 1], '9e-06', '9e-06', '1.7e-05', '1.7e-05', 'npydecl.py:216(NumpyRulesInplaceArrayOperator)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'lowering.py:14(Environment)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npydecl.py:468(NdFull)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'socket.py:239(_fileobject)'], [['1', 1], '6.7e-05', '6.7e-05', '0.000171', '0.000171', 'driver.py:139(_build_reverse_error_map)'], [['1', 1], '6e-06', '6e-06', '8e-06', '8e-06', 'values.py:133(Value)'], [['133', 133], '0.000639', '4.805e-06', '0.00237', '1.782e-05', 'geometry.py:181(mapc2p)'], [['1', 1], '7e-06', '7e-06', '5.3e-05', '5.3e-05', 'cgutils.py:796(gep)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'controller.py:421(OutputController)'], [['1', 1], '0.004492', '0.004492', '0.02102', '0.02102', 'utils.py:1(&lt;module&gt;)'], [['6', 6], '1e-06', '1.667e-07', '1e-06', '1.667e-07', 'values.py:387(module)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:128(VisibleDeprecationWarning)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '_datasource.py:50(_FileOpeners)'], [['1', 1], '0', '0', '0', '0', 'core.py:122(MAError)'], [['119', 119], '7.2e-05', '6.05e-07', '0.00058', '4.874e-06', 'typeconv.py:42(set_unsafe_convert)'], [['2', 2], '8e-06', '4e-06', '1.4e-05', '7e-06', 'types.py:1166(__new__)'], [['1', 1], '2.5e-05', '2.5e-05', '2.8e-05', '2.8e-05', 'targets.py:234(create_target_library_info)'], [['1', 1], '0', '0', '0', '0', '~:0(&lt;method &#39;disable&#39; of &#39;_lsprof.Profiler&#39; objects&gt;)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:661(Bool)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'info.py:86(&lt;module&gt;)'], [['1', 1], '0.000214', '0.000214', '0.001363', '0.001363', 'util.py:5(&lt;module&gt;)'], [['34', 34], '0.0001', '2.941e-06', '0.000151', '4.441e-06', 'posixpath.py:120(dirname)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'config.py:285(ConvertingMixin)'], [['1', 1], '0.003491', '0.003491', '0.01552', '0.01552', 'cparser.py:1(&lt;module&gt;)'], [['1', 1], '0.000935', '0.000935', '0.01168', '0.01168', 'tempfile.py:18(&lt;module&gt;)'], [['4', 4], '5e-06', '1.25e-06', '5e-06', '1.25e-06', '~:0(&lt;zip&gt;)'], [['2', 2], '3.2e-05', '1.6e-05', '0.000458', '0.000229', 'atomicops.py:95(_define_atomic_inc_dec)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:88(_NPDatetimeBase)'], [['1', 1], '2.2e-05', '2.2e-05', '0.000109', '0.000109', 'laguerre.py:59(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'vectorizers.py:15(CUDAVectorize)'], [['280', 280], '8.8e-05', '3.143e-07', '8.8e-05', '3.143e-07', 'types.py:234(key)'], [['1', 1], '0', '0', '0', '0', 'errors.py:55(DistutilsExecError)'], [['5', 5], '1.2e-05', '2.4e-06', '1.5e-05', '3e-06', 'UserDict.py:91(get)'], [['1', 1], '4.9e-05', '4.9e-05', '4.9e-05', '4.9e-05', 'serialize.py:3(&lt;module&gt;)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', '&lt;string&gt;:1(ArgSpec)'], [['153', 153], '8.3e-05', '5.425e-07', '0.004408', '2.881e-05', 'templates.py:589(decorator)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'euler_4wave_2D_constants.py:1(&lt;module&gt;)'], [['8', 8], '1.2e-05', '1.5e-06', '9.5e-05', '1.187e-05', 'core.py:7709(__init__)'], [['1', 1], '0.00318', '0.00318', '0.1937', '0.1937', 'registry.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'handlers.py:599(DatagramHandler)'], [['1', 1], '0.00195', '0.00195', '0.002979', '0.002979', 'values.py:4(&lt;module&gt;)'], [['1', 1], '1e-05', '1e-05', '1e-05', '1e-05', 'passes.py:11(&lt;module&gt;)'], [['1', 1], '1.2e-05', '1.2e-05', '1.2e-05', '1.2e-05', 'api.py:30(FFI)'], [['1', 1], '2e-06', '2e-06', '0.000138', '0.000138', 'ConfigParser.py:686(SafeConfigParser)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:332(CmpOpEq)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:4(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'instructions.py:250(Resume)'], [['1', 1], '0.001027', '0.001027', '0.001781', '0.001781', 'callwrapper.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'kernel.py:10(FakeCUDAKernel)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'numerictypes.py:765(_typedict)'], [['87', 87], '0.000457', '5.253e-06', '0.03228', '0.000371', 'sre_compile.py:567(compile)'], [['3', 3], '8e-06', '2.667e-06', '1e-05', '3.333e-06', 'model.py:221(__init__)'], [['8', 8], '1.1e-05', '1.375e-06', '1.7e-05', '2.125e-06', 'typeconv.py:48(get_pointer)'], [['1', 1], '1.5e-05', '1.5e-05', '0.000277', '0.000277', 'decorators.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:253(SetItem)'], [['80', 80], '0.000519', '6.487e-06', '0.001812', '2.265e-05', 'collections.py:38(__init__)'], [['1', 1], '0', '0', '0', '0', '__init__.py:159(py_object)'], [['1', 1], '0', '0', '0', '0', 'extras.py:1347(MAxisConcatenator)'], [['1', 1], '0', '0', '0', '0', 'executionengine.py:201(_ObjectCacheRef)'], [['11', 11], '1.4e-05', '1.273e-06', '1.4e-05', '1.273e-06', 'threading.py:958(name)'], [['1', 1], '2e-06', '2e-06', '8e-06', '8e-06', 'mathdecl.py:98(Math_predicate)'], [['1', 1], '2e-06', '2e-06', '4e-06', '4e-06', 'randomdecl.py:101(Random_ternary_distribution)'], [['1', 1], '0', '0', '0', '0', '~:0(&lt;numba.runtime._nrt_python.memsys_use_cpython_allocator&gt;)'], [['4', 4], '1e-05', '2.5e-06', '9.7e-05', '2.425e-05', 'builder.py:499(ret_void)'], [['14', 14], '1e-05', '7.143e-07', '1e-05', '7.143e-07', '~:0(&lt;bin&gt;)'], [['16', 16], '0.00014', '8.75e-06', '0.000148', '9.25e-06', 'utils.py:506(__init__)'], [['2', 2], '3e-06', '1.5e-06', '3e-06', '1.5e-06', 'pythonapi.py:25(decorator)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arraydecl.py:382(ArrayCTypesAttribute)'], [['4804', 4804], '0.04573', '9.52e-06', '0.04573', '9.52e-06', '~:0(&lt;method &#39;copy&#39; of &#39;numpy.ndarray&#39; objects&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'dispatcher.py:501(_IPythonCacheLocator)'], [['22', 22], '2.8e-05', '1.273e-06', '4e-05', '1.818e-06', '__init__.py:446(usesTime)'], [['8', 8], '2.3e-05', '2.875e-06', '0.000115', '1.437e-05', 'dispatcher.py:493(from_function)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'dufunc.py:11(DUFuncKernel)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:154(TimedeltaCmpLt)'], [['6', 6], '7e-06', '1.167e-06', '3.3e-05', '5.5e-06', 'builder.py:33(wrap)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:60(__len__)'], [['6', 6], '7e-05', '1.167e-05', '0.01072', '0.001787', 'compiler.py:80(_rebuild)'], [['18', 18], '4e-06', '2.222e-07', '1e-05', '5.556e-07', 'config.py:106(&lt;lambda&gt;)'], [['8', 8], '0.000369', '4.612e-05', '0.000767', '9.587e-05', 'executionengine.py:170(_raw_object_cache_getbuffer)'], [['1', 1], '0.000206', '0.000206', '0.000365', '0.000365', 'dis.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'yacc.py:140(YaccError)'], [['4804', 4804], '0.03425', '7.129e-06', '0.04859', '1.011e-05', 'solver.py:671(write_gauge_values)'], [['1', 1], '9e-06', '9e-06', '9e-06', '9e-06', 'file_util.py:4(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'six.py:309(Module_six_moves_urllib_parse)'], [['1', 1], '0.00048', '0.00048', '0.001175', '0.001175', 'cmathdecl.py:1(&lt;module&gt;)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'driver.py:1061(Function)'], [['111', 111], '0.000139', '1.252e-06', '0.000652', '5.874e-06', '__init__.py:375(__getattr__)'], [['1', 1], '0.000357', '0.000357', '0.000679', '0.000679', 'polynomial.py:55(&lt;module&gt;)'], [['2', 2], '6e-06', '3e-06', '5.3e-05', '2.65e-05', 'instructions.py:43(descr)'], [['3', 3], '9e-06', '3e-06', '0.000121', '4.033e-05', 'builder.py:516(call)'], [['6/3', 6], '8e-06', '2.667e-06', '0.000514', '0.0001713', 'contextlib.py:15(__enter__)'], [['6', 6], '3.8e-05', '6.333e-06', '0.000563', '9.383e-05', 'builder.py:155(if_then)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', 'ir.py:122(Expr)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'funcdesc.py:183(ExternalFunctionDescriptor)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:166(TimedeltaCmpGE)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'generators.py:45(BaseGeneratorLower)'], [['2', 2], '2e-06', '1e-06', '2e-06', '1e-06', '__init__.py:47(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:444(PhiInstr)'], [['1', 1], '6e-06', '6e-06', '3.1e-05', '3.1e-05', 'instructions.py:272(descr)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'suite.py:78(TestSuite)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'types.py:24(Integer)'], [['1', 1], '0.00032', '0.00032', '0.1381', '0.1381', 'add_newdocs.py:10(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'types.py:861(Bytes)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'handlers.py:1112(BufferingHandler)'], [['1', 1], '3e-06', '3e-06', '1.1e-05', '1.1e-05', 'core.py:115(struct)'], [['15', 15], '3.3e-05', '2.2e-06', '0.000449', '2.993e-05', 'abc.py:105(register)'], [['1', 1], '0', '0', '0', '0', 'lex.py:94(NullLogger)'], [['4', 4], '2e-06', '5e-07', '2e-06', '5e-07', 'core.py:2517(__get__)'], [['1', 1], '0', '0', '0', '0', 'types.py:870(ByteArray)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:46(DistutilsSetupError)'], [['1', 1], '0', '0', '0', '0', 'descriptors.py:7(TargetDescriptor)'], [['1', 1], '8e-06', '8e-06', '4.9e-05', '4.9e-05', 'result.py:26(TestResult)'], [['1', 1], '7e-06', '7e-06', '2.2e-05', '2.2e-05', 'builtins.py:39(Abs)'], [['1', 1], '3.7e-05', '3.7e-05', '5.8e-05', '5.8e-05', 'commontypes.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'externals.py:158(_ExternalNumpyFunctions)'], [['1', 1], '8e-06', '8e-06', '0.09826', '0.09826', 'registry.py:11(CPUTarget)'], [['1', 1], '0.000292', '0.000292', '0.002345', '0.002345', '__init__.py:99(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '_iotools.py:444(ConverterError)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:317(NamedTupleClass)'], [['8', 8], '8e-06', '1e-06', '8e-06', '1e-06', 'dispatcher.py:474(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'values.py:280(GlobalVariable)'], [['1', 1], '2e-06', '2e-06', '4e-06', '4e-06', 'randomdecl.py:105(Random_binary_distribution)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:168(BinOpAdd)'], [['1', 1], '0', '0', '0', '0', 'errors.py:50(DistutilsPlatformError)'], [['8', 8], '3.2e-05', '4e-06', '0.00043', '5.375e-05', '__init__.py:474(__init__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'driver.py:957(Event)'], [['6', 6], '2.5e-05', '4.167e-06', '8.5e-05', '1.417e-05', 'types.py:337(structure_repr)'], [['733', 733], '0.002359', '3.218e-06', '0.002359', '3.218e-06', '~:0(&lt;built-in method __new__ of type object at 0x100186920&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'numbers.py:13(Number)'], [['1', 1], '0.000496', '0.000496', '0.000535', '0.000535', 'instructions.py:3(&lt;module&gt;)'], [['1', 1], '2e-05', '2e-05', '2.1e-05', '2.1e-05', '_iotools.py:473(StringConverter)'], [['1', 1], '1.2e-05', '1.2e-05', '1.3e-05', '1.3e-05', 'geometry.py:27(Grid)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'solution.py:96(start_frame)'], [['1', 1], '0.002664', '0.002664', '0.02897', '0.02897', 'typeof.py:1(&lt;module&gt;)'], [['1', 1], '7e-06', '7e-06', '8e-06', '8e-06', 'solver.py:253(ClawSolver1D)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'threading.py:125(_RLock)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;_hashlib.openssl_sha224&gt;)'], [['1', 1], '4e-06', '4e-06', '1.9e-05', '1.9e-05', 'testing.py:422(_MinimalRunner)'], [['40', 40], '0.005928', '0.0001482', '0.0256', '0.00064', 'castgraph.py:95(propagate)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'solver.py:13(BC)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', '_internal.py:222(_ctypes)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:574(NumberClassAttribute)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'vc_acoustics_3D_constants.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:608(TupleModel)'], [['48', 48], '2.8e-05', '5.833e-07', '2.8e-05', '5.833e-07', '_weakrefset.py:16(__init__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'compiler.py:548(AutoJitCUDAKernel)'], [['1', 1], '1e-05', '1e-05', '1e-05', '1e-05', 'dufunc.py:62(DUFunc)'], [['1', 1], '5e-06', '5e-06', '2e-05', '2e-05', 'polynomial.py:1493(Polynomial)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'lowering.py:231(Lower)'], [['39', 39], '3.6e-05', '9.231e-07', '3.6e-05', '9.231e-07', '~:0(&lt;method &#39;acquire&#39; of &#39;thread.lock&#39; objects&gt;)'], [['6', 6], '1.7e-05', '2.833e-06', '1.7e-05', '2.833e-06', 'six.py:124(__init__)'], [['1', 1], '9e-06', '9e-06', '9e-06', '9e-06', '&lt;string&gt;:1(Extent)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'ufuncbuilder.py:231(GUFuncBuilder)'], [['1', 1], '0', '0', '0', '0', 'devices.py:6(__init__)'], [['1', 1], '2.3e-05', '2.3e-05', '0.001083', '0.001083', 'version.py:27(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'ufuncbuilder.py:152(_BaseUFuncBuilder)'], [['45', 45], '9.1e-05', '2.022e-06', '0.000415', '9.222e-06', '__init__.py:237(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'error.py:12(NvvmError)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:970(ArrayFlags)'], [['345', 345], '0.000795', '2.304e-06', '0.000795', '2.304e-06', '_weakrefset.py:36(__init__)'], [['1', 1], '8e-06', '8e-06', '1e-05', '1e-05', 'targets.py:48(create_target_data)'], [['1', 1], '3e-06', '3e-06', '8e-06', '8e-06', 'cmathdecl.py:31(CMath_predicate)'], [['88', 88], '0.000527', '5.989e-06', '0.008815', '0.0001002', 'copy.py:306(_reconstruct)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'templates.py:337(AttributeTemplate)'], [['268', 268], '0.000151', '5.634e-07', '0.000151', '5.634e-07', 'types.py:923(key)'], [['4', 4], '2e-06', '5e-07', '2e-06', '5e-07', 'types.py:1335(key)'], [['1', 1], '0', '0', '0', '0', 'listdecl.py:219(ListLe)'], [['1', 1], '5.1e-05', '5.1e-05', '5.4e-05', '5.4e-05', '_utils.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:1539(ClassType)'], [['1', 1], '0.001989', '0.001989', '0.002073', '0.002073', 'externals.py:3(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'errors.py:27(VerificationError)'], [['2', 2], '3.5e-05', '1.75e-05', '3.5e-05', '1.75e-05', 'targets.py:92(add_pass)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:83(LinkError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:645(Struct)'], [['2', 2], '3e-06', '1.5e-06', '3e-06', '1.5e-06', 'types.py:1484(__init__)'], [['1', 1], '0', '0', '0', '0', 'instructions.py:412(GEPInstr)'], [['1', 1], '2.9e-05', '2.9e-05', '0.001027', '0.001027', 'random.py:100(seed)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '~:0(&lt;_ctypes.set_conversion_mode&gt;)'], [['1', 1], '3.2e-05', '3.2e-05', '9.7e-05', '9.7e-05', 'solver.py:160(__init__)'], [['6/2', 6], '1.8e-05', '9e-06', '0.000204', '0.000102', 'model.py:38(get_cached_btype)'], [['15', 15], '8e-06', '5.333e-07', '8e-06', '5.333e-07', 'UserDict.py:43(clear)'], [['1', 1], '0', '0', '0', '0', 'types.py:877(PyArray)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'model.py:301(StructOrUnion)'], [['38', 38], '2.8e-05', '7.368e-07', '2.8e-05', '7.368e-07', 'imputils.py:124(_decorate_getattr)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arrayprint.py:739(TimedeltaFormat)'], [['40', 40], '8.4e-05', '2.1e-06', '0.001525', '3.812e-05', 'typeof.py:23(typeof)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;method &#39;index&#39; of &#39;str&#39; objects&gt;)'], [['15', 15], '5e-06', '3.333e-07', '5e-06', '3.333e-07', 'sre_parse.py:139(__delitem__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__future__.py:74(_Feature)'], [['1', 1], '0.0109', '0.0109', '0.08724', '0.08724', 'context.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'types.py:115(NPTimedelta)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:816(IntEnum)'], [['2', 2], '5e-06', '2.5e-06', '5e-06', '2.5e-06', 'transforms.py:63(loop_vectorize)'], [['4804', 4804], '0.351', '7.306e-05', '0.3531', '7.35e-05', 'solver.py:397(compute_max_wave_speed)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'core.py:152(Module)'], [['22', 22], '6.9e-05', '3.136e-06', '0.001565', '7.114e-05', '__init__.py:738(handle)'], [['9', 9], '7e-06', '7.778e-07', '6.4e-05', '7.111e-06', 'core.py:2504(__init__)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'types.py:1307(MemInfoPointer)'], [['1', 1], '2.6e-05', '2.6e-05', '2.6e-05', '2.6e-05', 'descriptors.py:3(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'collections.py:22(SequenceLen)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'autotune.py:43(AutoTuner)'], [['1', 1], '0', '0', '0', '0', 'value.py:63(Visibility)'], [['11', 11], '1.8e-05', '1.636e-06', '3.7e-05', '3.364e-06', 'core.py:74(pointer)'], [['8', 8], '4e-06', '5e-07', '4e-06', '5e-07', '~:0(&lt;function __getitem__ at 0x1097b8848&gt;)'], [['1', 1], '0', '0', '0', '0', 'polynomial.py:22(RankWarning)'], [['17', 17], '4e-06', '2.353e-07', '4e-06', '2.353e-07', 'macro.py:165(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:1215(NamedTuple)'], [['1', 1], '2.3e-05', '2.3e-05', '2.3e-05', '2.3e-05', 'random.py:72(Random)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'codegen.py:29(CodeLibrary)'], [['46', 46], '0.000127', '2.761e-06', '0.000148', '3.217e-06', 'types.py:184(__init__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'decorators.py:12(_BaseVectorize)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'compiler.py:153(DeviceFunction)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'cProfile.py:66(Profile)'], [['14', 14], '1.6e-05', '1.143e-06', '3.3e-05', '2.357e-06', 'utils.py:279(bit_length)'], [['44', 44], '9e-06', '2.045e-07', '9e-06', '2.045e-07', 'mathimpl.py:130(_float_input_unary_math_extern_impl)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', '&lt;string&gt;:1(Partition)'], [['21', 21], '1.8e-05', '8.571e-07', '1.8e-05', '8.571e-07', '~:0(&lt;_struct.calcsize&gt;)'], [['2', 2], '4e-06', '2e-06', '6e-06', '3e-06', 'types.py:71(__init__)'], [['3', 3], '0.000418', '0.0001393', '0.000418', '0.0001393', '~:0(&lt;posix.urandom&gt;)'], [['11', 11], '1.3e-05', '1.182e-06', '1.3e-05', '1.182e-06', 'util.py:688(__repr__)'], [['5988', 5988], '0.001941', '3.241e-07', '0.002338', '3.904e-07', '~:0(&lt;setattr&gt;)'], [['111', 111], '4.2e-05', '3.784e-07', '8.1e-05', '7.297e-07', 'ConfigParser.py:373(optionxform)'], [['8', 8], '1e-06', '1.25e-07', '1e-06', '1.25e-07', 'types.py:400(key)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:437(GetItemCPointer)'], [['1', 1], '6e-06', '6e-06', '6.2e-05', '6.2e-05', 'threading.py:1081(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '_import_tools.py:341(PackageLoaderDebug)'], [['1', 1], '5e-06', '5e-06', '2.1e-05', '2.1e-05', 'model.py:149(build_backend_type)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'listobj.py:140(ListInstance)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:828(Sinc)'], [['8', 8], '0.000568', '7.1e-05', '0.001301', '0.0001626', 'dispatcher.py:35(__init__)'], [['40', 40], '7.4e-05', '1.85e-06', '0.001388', '3.47e-05', 'singledispatch.py:209(wrapper)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'randomdecl.py:151(Random_shuffle)'], [['47', 47], '1.8e-05', '3.83e-07', '1.8e-05', '3.83e-07', '~:0(&lt;method &#39;__subclasses__&#39; of &#39;type&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:1199(NamedUniTuple)'], [['1', 1], '0', '0', '0', '0', 'errors.py:31(MacroError)'], [['1', 1], '8e-06', '8e-06', '0.000202', '0.000202', 'sysconfig.py:440(get_config_vars)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:134(VoidType)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:247(DatetimeCmpLt)'], [['1', 1], '1.6e-05', '1.6e-05', '2.1e-05', '2.1e-05', 'numerictypes.py:428(_construct_char_code_lookup)'], [['304', 304], '0.000109', '3.586e-07', '0.000168', '5.526e-07', 'sre_compile.py:101(fixup)'], [['1', 1], '0.001837', '0.001837', '0.001935', '0.001935', 'runner.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npydecl.py:567(NdLinspace)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:77(TimeoutError)'], [['84', 84], '4.1e-05', '4.881e-07', '4.1e-05', '4.881e-07', '~:0(&lt;method &#39;keys&#39; of &#39;dict&#39; objects&gt;)'], [['1', 1], '0', '0', '0', '0', 'threading.py:515(_BoundedSemaphore)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'core.py:7696(_convert2ma)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:610(Generator)'], [['1', 1], '0', '0', '0', '0', 'six.py:416(Module_six_moves_urllib_response)'], [['20', 20], '7.7e-05', '3.85e-06', '0.000159', '7.95e-06', 'mathimpl.py:148(unary_math_extern)'], [['10', 10], '0.1021', '0.01021', '6.896', '0.6896', 'solver.py:553(evolve_to_time)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'SocketServer.py:358(TCPServer)'], [['1', 1], '7e-05', '7e-05', '7e-05', '7e-05', 'collections.py:26(OrderedDict)'], [['13', 13], '1e-05', '7.692e-07', '1.9e-05', '1.462e-06', 'npydecl.py:375(&lt;genexpr&gt;)'], [['1', 1], '0', '0', '0', '0', 'pickle.py:69(UnpicklingError)'], [['6', 6], '5e-06', '8.333e-07', '5e-06', '8.333e-07', '~:0(&lt;vars&gt;)'], [['1', 1], '1.4e-05', '1.4e-05', '1.4e-05', '1.4e-05', 'defmatrix.py:208(matrix)'], [['83', 83], '0.000194', '2.337e-06', '0.000885', '1.066e-05', 'values.py:138(__init__)'], [['1', 1], '6e-06', '6e-06', '1e-05', '1e-05', 'debug.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:146(FunctionType)'], [['2', 2], '1e-06', '5e-07', '1e-06', '5e-07', 'utils.py:51(_set_function_name)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'lex.py:544(LexerReflect)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'StringIO.py:42(StringIO)'], [['1', 1], '0.005531', '0.005531', '0.005565', '0.005565', 'hashlib.py:56(&lt;module&gt;)'], [['1', 1], '0.000799', '0.000799', '0.05628', '0.05628', 'ffi.py:1(&lt;module&gt;)'], [['4804', 4804], '0.01146', '2.386e-06', '0.01528', '3.18e-06', 'state.py:224(set_q_from_qbc)'], [['1', 1], '5e-06', '5e-06', '6e-06', '6e-06', 'laguerre.py:1741(Laguerre)'], [['7', 7], '1.2e-05', '1.714e-06', '0.04534', '0.006478', 'codegen.py:385(_finalize_specific)'], [['1', 1], '1.9e-05', '1.9e-05', '3e-05', '3e-05', 'options.py:1(&lt;module&gt;)'], [['1', 1], '1.9e-05', '1.9e-05', '1.9e-05', '1.9e-05', 'findlib.py:1(&lt;module&gt;)'], [['153', 153], '0.000183', '1.196e-06', '0.000698', '4.562e-06', '~:0(&lt;all&gt;)'], [['1', 1], '1.2e-05', '1.2e-05', '1.2e-05', '1.2e-05', 'ufunclike.py:5(&lt;module&gt;)'], [['4', 4], '0.001349', '0.0003372', '0.001383', '0.0003457', 'module.py:89(verify)'], [['1', 1], '3e-06', '3e-06', '1.6e-05', '1.6e-05', 'instructions.py:358(__init__)'], [['1', 1], '0', '0', '0', '0', 'serialize.py:26(_ModuleRef)'], [['342', 342], '0.000193', '5.643e-07', '0.000193', '5.643e-07', '~:0(&lt;method &#39;items&#39; of &#39;dict&#39; objects&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'shlex.py:21(shlex)'], [['1', 1], '6e-06', '6e-06', '1.3e-05', '1.3e-05', 'solver.py:166(_set_mthlim)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arrayprint.py:695(ComplexFormat)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:214(DatetimeMinusDatetime)'], [['1', 1], '5.4e-05', '5.4e-05', '8.3e-05', '8.3e-05', 'boxing.py:4(&lt;module&gt;)'], [['1', 1], '9e-06', '9e-06', '0.05107', '0.05107', 'codegen.py:157(finalize)'], [['3', 3], '1e-06', '3.333e-07', '1e-06', '3.333e-07', 'pythonapi.py:20(__init__)'], [['75', 75], '9.3e-05', '1.24e-06', '9.3e-05', '1.24e-06', 'utils.py:204(__setitem__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:346(gep)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'executionengine.py:273(_ObjectCacheData)'], [['1', 1], '2.9e-05', '2.9e-05', '3.5e-05', '3.5e-05', 'arrayterator.py:9(&lt;module&gt;)'], [['9806', 9806], '0.001643', '1.676e-07', '0.001643', '1.676e-07', 'geometry.py:574(upper)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:339(Raise)'], [['13', 13], '2.6e-05', '2e-06', '0.000175', '1.346e-05', 'values.py:399(append_basic_block)'], [['11', 11], '7e-06', '6.364e-07', '7e-06', '6.364e-07', 'controller.py:400(write_F)'], [['162', 162], '2.9e-05', '1.79e-07', '2.9e-05', '1.79e-07', '~:0(&lt;callable&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'typeinfer.py:874(TypeInferDebug)'], [['162', 162], '0.000138', '8.519e-07', '0.000788', '4.864e-06', 're.py:138(match)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'core.py:255(MetaData)'], [['1', 1], '0', '0', '0', '0', 'core.py:249(MetaDataString)'], [['6', 6], '0.000128', '2.133e-05', '0.000151', '2.517e-05', 'cgutils.py:58(create_struct_proxy)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:235(BitwiseLeftShift)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'npydecl.py:156(NumpyRulesArrayOperator)'], [['1', 1], '0.000227', '0.000227', '0.000982', '0.000982', 'fancy_getopt.py:9(&lt;module&gt;)'], [['1', 1], '1e-05', '1e-05', '3.4e-05', '3.4e-05', 'compiler.py:263(Pipeline)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'dummyarray.py:112(Element)'], [['13', 13], '2.4e-05', '1.846e-06', '5.2e-05', '4e-06', 'builder.py:215(_set_terminator)'], [['1', 1], '7e-06', '7e-06', '3e-05', '3e-05', 'instructions.py:413(__init__)'], [['1', 1], '0.003233', '0.003233', '0.1573', '0.1573', '__init__.py:106(&lt;module&gt;)'], [['1', 1], '6e-06', '6e-06', '9e-06', '9e-06', 'hermite.py:1792(Hermite)'], [['1', 1], '7.8e-05', '7.8e-05', '0.000155', '0.000155', 'legendre.py:83(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'kernelapi.py:54(FakeCUDAShared)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '~:0(&lt;method &#39;view&#39; of &#39;numpy.ndarray&#39; objects&gt;)'], [['1', 1], '0.001204', '0.001204', '0.03175', '0.03175', '__init__.py:4(&lt;module&gt;)'], [['8', 8], '6e-06', '7.5e-07', '1.1e-05', '1.375e-06', 'inspect.py:51(ismodule)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'cgutils.py:77(_StructProxy)'], [['1', 1], '1.5e-05', '1.5e-05', '1.8e-05', '1.8e-05', 'shlex.py:2(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'platform.py:31(_DummyExtension)'], [['21', 21], '0.000163', '7.762e-06', '0.000163', '7.762e-06', '~:0(&lt;posix.stat&gt;)'], [['1', 1], '0', '0', '0', '0', 'errors.py:16(DistutilsModuleError)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'util.py:172(Finalize)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:154(PredictableInstr)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'ee.py:62(TargetMachine)'], [['1', 1], '0', '0', '0', '0', 'six.py:122(_LazyModule)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:856(Complex128)'], [['9', 9], '4.8e-05', '5.333e-06', '0.000533', '5.922e-05', 'codegen.py:419(_create_empty_module)'], [['12', 12], '8e-06', '6.667e-07', '8e-06', '6.667e-07', '~:0(&lt;method &#39;values&#39; of &#39;dict&#39; objects&gt;)'], [['11', 11], '3.1e-05', '2.818e-06', '0.000784', '7.127e-05', '__init__.py:940(emit)'], [['2', 2], '5e-06', '2.5e-06', '1e-05', '5e-06', 'os.py:496(__delitem__)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'types.py:742(Record)'], [['2', 2], '5e-06', '2.5e-06', '4.4e-05', '2.2e-05', 'builder.py:20(wrapped)'], [['4', 4], '3e-06', '7.5e-07', '3e-06', '7.5e-07', 'utils.py:255(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'templates.py:366(_OverloadAttributeTemplate)'], [['4', 4], '1.5e-05', '3.75e-06', '1.8e-05', '4.5e-06', 'threading.py:132(__init__)'], [['2', 2], '5e-06', '2.5e-06', '5e-06', '2.5e-06', '~:0(&lt;posix.unsetenv&gt;)'], [['1', 1], '0', '0', '0', '0', 'instructions.py:600(_LandingPadClause)'], [['1', 1], '0.000248', '0.000248', '0.00028', '0.00028', 'types.py:3(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'devices.py:33(__init__)'], [['1', 1], '0', '0', '0', '0', 'ascii.py:28(StreamWriter)'], [['1', 1], '1.1e-05', '1.1e-05', '0.000171', '0.000171', 'glob.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', '__init__.py:291(c_wchar_p)'], [['1', 1], '0', '0', '0', '0', 'pythonapi.py:102(EnvironmentManager)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'geometry.py:659(Patch)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'case.py:1018(FunctionTestCase)'], [['1', 1], '5e-06', '5e-06', '1.5e-05', '1.5e-05', 'numeric.py:2869(__enter__)'], [['2', 2], '1.3e-05', '6.5e-06', '1.3e-05', '6.5e-06', '__init__.py:505(string_at)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:660(StructRef)'], [['28853', 28853], '0.03839', '1.331e-06', '0.113', '3.915e-06', 'geometry.py:258(get_dim_attribute)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'threading.py:255(_Condition)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'stubs.py:12(Stub)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'compiler.py:178(_CompileStatus)'], [['19', 19], '8.8e-05', '4.632e-06', '0.000429', '2.258e-05', '~:0(&lt;method &#39;encode&#39; of &#39;str&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'abstracttypes.py:296(MutableSequence)'], [['6', 6], '6e-06', '1e-06', '2.5e-05', '4.167e-06', 'utils.py:240(__exit__)'], [['1', 1], '1e-05', '1e-05', '1e-05', '1e-05', 'helper.py:4(&lt;module&gt;)'], [['1', 1], '0.000474', '0.000474', '0.000508', '0.000508', 'ir.py:1(&lt;module&gt;)'], [['6', 6], '5e-06', '8.333e-07', '9e-05', '1.5e-05', 'types.py:369(__str__)'], [['11', 11], '1e-05', '9.091e-07', '0.006364', '0.0005785', 'castgraph.py:131(safe)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'euler_mapgrid_2D_constants.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'bytecode.py:285(ByteCodeBase)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:1164(Tuple)'], [['1', 1], '0.000669', '0.000669', '0.00112', '0.00112', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '5e-06', '5e-06', 'mathdecl.py:130(Math_ldexp)'], [['1', 1], '0', '0', '0', '0', '~:0(&lt;method &#39;__exit__&#39; of &#39;thread.lock&#39; objects&gt;)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', '~:0(&lt;method &#39;close&#39; of &#39;file&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'utils.py:1771(IgnoreException)'], [['27', 27], '7e-06', '2.593e-07', '7e-06', '2.593e-07', '~:0(&lt;method &#39;isupper&#39; of &#39;str&#39; objects&gt;)'], [['1', 1], '0.000744', '0.000744', '0.000744', '0.000744', '~:0(&lt;function seed at 0x106db4578&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'model.py:439(EnumType)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'typeof.py:14(Purpose)'], [['4', 4], '0.000163', '4.075e-05', '0.1746', '0.04366', '__init__.py:349(__init__)'], [['24246', 24246], '0.00415', '1.712e-07', '0.00415', '1.712e-07', 'geometry.py:583(num_cells)'], [['1', 1], '1.1e-05', '1.1e-05', '3.9e-05', '3.9e-05', 'ConfigParser.py:232(__init__)'], [['1', 1], '0.000798', '0.000798', '0.000844', '0.000844', 'unixccompiler.py:4(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'codegen.py:348(AOTCodeLibrary)'], [['1', 1], '0.002128', '0.002128', '0.002853', '0.002853', 'interpreter.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;numba.runtime._nrt_python.memsys_set_atomic_inc_dec&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'memmap.py:20(memmap)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', '~:0(&lt;_cffi_backend.new_void_type&gt;)'], [['1', 1], '1.7e-05', '1.7e-05', '0.1203', '0.1203', 'driver.py:171(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:249(BitwiseAnd)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:18(Boolean)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'executionengine.py:45(ExecutionEngine)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'vc_acoustics_2D_constants.py:1(&lt;module&gt;)'], [['21', 21], '0.00011', '5.238e-06', '0.000214', '1.019e-05', 'nosetester.py:179(__init__)'], [['2', 2], '1.2e-05', '6e-06', '1.2e-05', '6e-06', '~:0(&lt;math.log&gt;)'], [['1', 1], '7.7e-05', '7.7e-05', '0.000105', '0.000105', 'defchararray.py:17(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'yacc.py:1930(LALRError)'], [['1', 1], '0.000585', '0.000585', '0.001742', '0.001742', 'ConfigParser.py:464(_read)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'types.py:666(NumpyNdIndexType)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'dataflow.py:606(LoopBlock)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'templates.py:594(BaseRegistryLoader)'], [['6', 6], '1.1e-05', '1.833e-06', '1.2e-05', '2e-06', 'instructions.py:36(module)'], [['1', 1], '3e-06', '3e-06', '6e-06', '6e-06', 'six.py:453(Module_six_moves_urllib)'], [['1', 1], '0', '0', '0', '0', 'errors.py:76(CompileError)'], [['2863', 2863], '0.001663', '5.809e-07', '0.002607', '9.106e-07', 'sre_parse.py:141(__getitem__)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'ffi.py:95(ObjectRef)'], [['140', 140], '0.000107', '7.643e-07', '0.000107', '7.643e-07', '~:0(&lt;method &#39;split&#39; of &#39;str&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'utils.py:133(set)'], [['8', 8], '2e-06', '2.5e-07', '2e-06', '2.5e-07', 'six.py:73(_add_doc)'], [['1', 1], '3.5e-05', '3.5e-05', '0.000111', '0.000111', '_osx_support.py:277(_check_for_unavailable_sdk)'], [['23', 23], '0.000101', '4.391e-06', '0.001137', '4.943e-05', 'typeof.py:139(_typeof_ndarray)'], [['1', 1], '4.8e-05', '4.8e-05', '9.4e-05', '9.4e-05', 'builtins.py:427(_implement_integer_operators)'], [['1', 1], '0', '0', '0', '0', 'driver.py:41(DeadMemoryError)'], [['1', 1], '5.8e-05', '5.8e-05', '5.8e-05', '5.8e-05', 'scimath.py:17(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:770(UnicodeCharSeq)'], [['1', 1], '1.1e-05', '1.1e-05', '2.2e-05', '2.2e-05', 'devices.py:97(__init__)'], [['1', 1], '0', '0', '0', '0', 'collections.py:68(DelItemSequence)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'models.py:784(CharSeq)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:436(Module_six_moves_urllib_robotparser)'], [['1', 1], '4.5e-05', '4.5e-05', '5.2e-05', '5.2e-05', '_import_tools.py:1(&lt;module&gt;)'], [['1136', 1136], '0.000436', '3.838e-07', '0.000615', '5.414e-07', 'sre_parse.py:149(append)'], [['130', 130], '0.000104', '8e-07', '0.00014', '1.077e-06', 'sre_parse.py:74(opengroup)'], [['1', 1], '0.001318', '0.001318', '0.007971', '0.007971', 'random.py:40(&lt;module&gt;)'], [['1', 1], '1e-05', '1e-05', '2.6e-05', '2.6e-05', 'builtins.py:195(BinOpTrueDiv)'], [['1', 1], '0', '0', '0', '0', 'errors.py:71(UntypedAttributeError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:245(BitwiseLogicOperation)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'yacc.py:239(LRParser)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'numbers.py:270(Rational)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'solver.py:249(is_valid)'], [['1', 1], '1.3e-05', '1.3e-05', '1.3e-05', '1.3e-05', 'driver.py:341(Device)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:309(Module_six_moves_urllib_parse)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'values.py:454(ArgumentAttributes)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npdatetime.py:171(TimedeltaAbs)'], [['1', 1], '5.4e-05', '5.4e-05', '6.3e-05', '6.3e-05', 'transforms.py:1(&lt;module&gt;)'], [['3', 3], '1.9e-05', '6.333e-06', '1.9e-05', '6.333e-06', 'registry.py:33(__init__)'], [['2', 2], '4e-06', '2e-06', '0.000362', '0.000181', 'externals.py:109(install)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'module.py:196(_next)'], [['83', 83], '6.3e-05', '7.59e-07', '0.000193', '2.325e-06', '_utils.py:22(register)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:968(append)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'irpasses.py:8(RemoveRedundantAssign)'], [['1', 1], '0', '0', '0', '0', 'six.py:367(Module_six_moves_urllib_request)'], [['4', 4], '3e-06', '7.5e-07', '3e-06', '7.5e-07', 'weakref.py:76(__getitem__)'], [['59', 59], '4.6e-05', '7.797e-07', '0.000139', '2.356e-06', 'core.py:103(int)'], [['1', 1], '2e-05', '2e-05', '7.4e-05', '7.4e-05', 'numerictypes.py:451(_set_array_types)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'base.py:39(InstanceDataModel)'], [['395', 395], '0.000136', '3.443e-07', '0.000136', '3.443e-07', 'six.py:180(find_module)'], [['2', 2], '1.4e-05', '7e-06', '6.4e-05', '3.2e-05', 'instructions.py:586(descr)'], [['1', 1], '0.000443', '0.000443', '0.000855', '0.000855', 'six.py:1(&lt;module&gt;)'], [['28', 28], '6.7e-05', '2.393e-06', '0.000306', '1.093e-05', 'contextlib.py:54(contextmanager)'], [['1', 1], '0', '0', '0', '0', 'interpreter.py:12(Assigner)'], [['89', 89], '0.000353', '3.966e-06', '0.002576', '2.894e-05', 'geometry.py:194(__init__)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', '~:0(&lt;numba.typeconv._typeconv.new_type_manager&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'npdatetime.py:55(TimedeltaOrderedCmpOp)'], [['1', 1], '0', '0', '0', '0', 'arraydecl.py:357(StaticGetItemRecord)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:624(ListPayloadModel)'], [['1', 1], '0', '0', '0', '0', 'numeric.py:2798(_unspecified)'], [['1', 1], '2e-06', '2e-06', '0.001591', '0.001591', 'ufunc_db.py:26(get_ufuncs)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'dispatcher.py:150(_CUDAGUFuncCallSteps)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:316(CmpOpLt)'], [['1', 1], '0.000278', '0.000278', '0.002626', '0.002626', 'util.py:618(_info_from_argv)'], [['1', 1], '1.4e-05', '1.4e-05', '5.1e-05', '5.1e-05', 'builtins.py:211(BinOpPower)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:320(CmpOpLe)'], [['1', 1], '7.6e-05', '7.6e-05', '9.8e-05', '9.8e-05', 'pythonapi.py:135(PythonAPI)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:121(gep)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'callwrapper.py:9(_ArgManager)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:260(c_bool)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'dummyarray.py:11(Dim)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'ufuncbuilder.py:33(UFuncDispatcher)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'yacc.py:1814(LRTable)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:570(SimpleIteratorType)'], [['1', 1], '0', '0', '0', '0', 'context.py:59(init)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'controlflow.py:15(CFBlock)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'dispatcher.py:22(CUDADispatcher)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'util.py:696(set_counter)'], [['1', 1], '1.4e-05', '1.4e-05', '1.6e-05', '1.6e-05', '__future__.py:48(&lt;module&gt;)'], [['3', 3], '2e-06', '6.667e-07', '2e-06', '6.667e-07', '__init__.py:756(setFormatter)'], [['1', 1], '0', '0', '0', '0', 'SocketServer.py:613(ForkingUDPServer)'], [['24', 24], '2e-05', '8.333e-07', '3.9e-05', '1.625e-06', 'values.py:426(&lt;genexpr&gt;)'], [['48', 48], '4.4e-05', '9.167e-07', '5.9e-05', '1.229e-06', '_weakrefset.py:20(__enter__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:812(StreamHandler)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:254(BitwiseOr)'], [['1', 1], '0.000455', '0.000455', '0.000914', '0.000914', 'six.py:1(&lt;module&gt;)'], [['76', 76], '0.000151', '1.987e-06', '0.000334', '4.395e-06', '_weakrefset.py:58(__iter__)'], [['8', 8], '9.5e-05', '1.187e-05', '0.000161', '2.012e-05', '__init__.py:519(_find_new_)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'util.py:109(&lt;dictcomp&gt;)'], [['1', 1], '2e-06', '2e-06', '0.01211', '0.01211', 'geometry.py:164(p_centers)'], [['48', 48], '6e-05', '1.25e-06', '9.6e-05', '2e-06', '_weakrefset.py:26(__exit__)'], [['1', 1], '0', '0', '0', '0', 'instructions.py:621(LandingPadInstr)'], [['1', 1], '1.7e-05', '1.7e-05', '1.9e-05', '1.9e-05', 'threading.py:631(Thread)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:618(PtrDecl)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'ee.py:11(EngineBuilder)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'sre_parse.py:218(seek)'], [['1', 1], '4e-06', '4e-06', '8e-06', '8e-06', 'model.py:307(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:596(RangeIteratorType)'], [['4', 4], '5e-06', '1.25e-06', '9e-06', '2.25e-06', '__init__.py:709(setLevel)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'compiler.py:158(ExternFunction)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'registry.py:35(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:853(SliceModel)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:816(CContiguousFlatIter)'], [['2', 2], '1.6e-05', '8e-06', '3.5e-05', '1.75e-05', 'executionengine.py:52(__init__)'], [['37', 37], '0.00013', '3.514e-06', '0.00055', '1.486e-05', 'instructions.py:13(__init__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'ConfigParser.py:217(MissingSectionHeaderError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'model.py:236(ConstPointerType)'], [['1', 1], '0', '0', '0', '0', 'six.py:225(_MovedItems)'], [['1', 1], '5e-06', '5e-06', '6e-06', '6e-06', 'copy_reg.py:14(pickle)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'io.py:73(RawIOBase)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'version.py:1(&lt;module&gt;)'], [['2', 2], '2e-06', '1e-06', '2e-06', '1e-06', 'imputils.py:67(lower_setattr)'], [['1', 1], '4e-05', '4e-05', '6.2e-05', '6.2e-05', 'numerictypes.py:285(_add_types)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:169(__init__)'], [['11', 11], '0.000334', '3.036e-05', '0.000427', '3.882e-05', 'targets.py:13(get_default_triple)'], [['1', 1], '2e-06', '2e-06', '3e-06', '3e-06', 'solver.py:814(SharpClawSolver2D)'], [['1', 1], '0', '0', '0', '0', 'ascii.py:31(StreamReader)'], [['1', 1], '0', '0', '0', '0', 'core.py:842(_MaskedUnaryOperation)'], [['1', 1], '2e-05', '2e-05', '2e-05', '2e-05', 'ccompiler.py:23(CCompiler)'], [['2', 2], '2.5e-05', '1.25e-05', '2.5e-05', '1.25e-05', '~:0(&lt;numba._helperlib.rnd_seed&gt;)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'types.py:1084(_HomogenousTuple)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'context.py:503(Context)'], [['538/228', 538], '0.000259', '1.136e-06', '0.000259', '1.136e-06', '_utils.py:14(is_used)'], [['1', 1], '0.000274', '0.000274', '0.000275', '0.000275', 'util.py:34(run_serialized)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', 'financial.py:10(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'array.py:139(devicearray)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:1572(DeferredType)'], [['44', 44], '3.8e-05', '8.636e-07', '0.000182', '4.136e-06', '__init__.py:702(release)'], [['1', 1], '0', '0', '0', '0', 'suite.py:299(_DebugResult)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'pprint.py:84(PrettyPrinter)'], [['3', 3], '1.2e-05', '4e-06', '1.2e-05', '4e-06', '~:0(&lt;numpy.core.umath.seterrobj&gt;)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'models.py:165(PrimitiveModel)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'kpp_2D_constants.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'bytecode.py:345(ByteCode)'], [['1', 1], '0', '0', '0', '0', 'ir.py:522(Intrinsic)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'types.py:413(Dispatcher)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'nonlinear_elasticity_fwave_1D_constants.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'yacc.py:133(NullLogger)'], [['58', 58], '0.000436', '7.517e-06', '0.000529', '9.121e-06', 'sre_compile.py:411(_mk_bitmap)'], [['384', 384], '0.000127', '3.307e-07', '0.000127', '3.307e-07', '~:0(&lt;method &#39;lower&#39; of &#39;str&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:332(CDLL)'], [['8', 8], '1.8e-05', '2.25e-06', '7.7e-05', '9.625e-06', 'dispatcher.py:478(get_cache_path)'], [['2032', 2032], '0.002346', '1.155e-06', '0.007585', '3.733e-06', 'castgraph.py:42(insert)'], [['36', 36], '1.7e-05', '4.722e-07', '1.7e-05', '4.722e-07', '~:0(&lt;method &#39;release&#39; of &#39;thread.lock&#39; objects&gt;)'], [['2032', 2032], '0.001047', '5.153e-07', '0.003143', '1.547e-06', 'castgraph.py:51(get)'], [['1', 1], '9.5e-05', '9.5e-05', '0.0006', '0.0006', 'controlflow.py:1(&lt;module&gt;)'], [['1', 1], '1e-05', '1e-05', '6.7e-05', '6.7e-05', 'six.py:784(wrapper)'], [['72', 72], '2.6e-05', '3.611e-07', '3.4e-05', '4.722e-07', 'numerictypes.py:127(english_lower)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'numpy_support.py:246(UFuncLoopSpec)'], [['1', 1], '0', '0', '0', '0', 'ConfigParser.py:155(NoOptionError)'], [['1', 1], '0', '0', '0', '0', 'yacc.py:178(YaccSymbol)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'testing.py:485(ParallelTestRunner)'], [['1', 1], '0', '0', '0', '0', 'SocketServer.py:720(DatagramRequestHandler)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npyio.py:95(NpzFile)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:226(c_ubyte)'], [['1', 1], '1.1e-05', '1.1e-05', '8.1e-05', '8.1e-05', 'listdecl.py:52(ListAttribute)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ascii.py:13(Codec)'], [['463', 463], '0.00029', '6.263e-07', '0.00029', '6.263e-07', '~:0(&lt;range&gt;)'], [['1', 1], '0.000189', '0.000189', '0.000975', '0.000975', 'arraydecl.py:1(&lt;module&gt;)'], [['6', 6], '2.1e-05', '3.5e-06', '2.1e-05', '3.5e-06', 'types.py:1688(&lt;lambda&gt;)'], [['131', 131], '0.000164', '1.252e-06', '0.000192', '1.466e-06', '_weakrefset.py:83(add)'], [['1', 1], '0', '0', '0', '0', 'module.py:171(_Iterator)'], [['3', 3], '6e-06', '2e-06', '3.6e-05', '1.2e-05', '__init__.py:638(_addHandlerRef)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:625(MatMulTyperMixin)'], [['3', 3], '3e-06', '1e-06', '6e-06', '2e-06', 'types.py:38(__ne__)'], [['1', 1], '0', '0', '0', '0', 'listdecl.py:223(ListGt)'], [['1', 1], '1e-05', '1e-05', '1e-05', '1e-05', 'templates.py:16(Signature)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'model.py:137(__init__)'], [['1', 1], '3e-06', '3e-06', '0.000198', '0.000198', 're.py:173(findall)'], [['1', 1], '2e-06', '2e-06', '9.8e-05', '9.8e-05', 'config.py:46(reset)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', '~:0(&lt;math.exp&gt;)'], [['1', 1], '0.002213', '0.002213', '0.002749', '0.002749', 'io.py:34(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'api.py:21(CDefError)'], [['1', 1], '0', '0', '0', '0', 'errors.py:73(PreprocessError)'], [['3', 3], '2.4e-05', '8e-06', '0.000236', '7.867e-05', 'module.py:18(add_metadata)'], [['1', 1], '4e-06', '4e-06', '7.2e-05', '7.2e-05', 'mathdecl.py:123(Math_frexp)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'c_ast.py:22(Node)'], [['12', 12], '5e-05', '4.167e-06', '5e-05', '4.167e-06', 'ctypeslib.py:303(prep_simple)'], [['1', 1], '0.001845', '0.001845', '0.002239', '0.002239', 'vectorizers.py:1(&lt;module&gt;)'], [['3088', 3088], '0.002919', '9.453e-07', '0.003381', '1.095e-06', 'sre_parse.py:193(__next)'], [['1', 1], '6e-06', '6e-06', '2.9e-05', '2.9e-05', 'builder.py:370(select)'], [['8', 8], '7e-05', '8.75e-06', '0.001148', '0.0001435', '__init__.py:55(signature)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:225(LogRecord)'], [['1', 1], '0', '0', '0', '0', 'abstracttypes.py:197(Dummy)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:523(Unreachable)'], [['1', 1], '0.000683', '0.000683', '0.001417', '0.001417', 'builtins.py:1(&lt;module&gt;)'], [['1', 1], '1.2e-05', '1.2e-05', '0.09825', '0.09825', 'base.py:152(__init__)'], [['14', 14], '0.002125', '0.0001518', '0.002125', '0.0001518', '~:0(&lt;open&gt;)'], [['1', 1], '0', '0', '3e-06', '3e-06', 'randomdecl.py:33(Random_random)'], [['1', 1], '1.1e-05', '1.1e-05', '1.1e-05', '1.1e-05', '&lt;string&gt;:1(_ArrayHelper)'], [['9', 9], '4.1e-05', '4.556e-06', '4.8e-05', '5.333e-06', 'module.py:104(name)'], [['3', 3], '1.3e-05', '4.333e-06', '3e-05', '1e-05', 'genericpath.py:34(isfile)'], [['24', 24], '0.000115', '4.792e-06', '0.000842', '3.508e-05', '__init__.py:585(parameters)'], [['1', 1], '2.3e-05', '2.3e-05', '0.000114', '0.000114', 'solver.py:324(_allocate_bc_arrays)'], [['1', 1], '8e-06', '8e-06', '1e-05', '1e-05', 'six.py:191(load_module)'], [['2662/11', 2662], '0.004541', '0.0004128', '0.01809', '0.001645', 'copy.py:145(deepcopy)'], [['1', 1], '3e-06', '3e-06', '1.8e-05', '1.8e-05', 'threading.py:542(Event)'], [['6', 6], '1.7e-05', '2.833e-06', '1.7e-05', '2.833e-06', 'imputils.py:153(user_function)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'base.py:1020(_wrap_impl)'], [['1', 1], '0.001833', '0.001833', '0.00223', '0.00223', '__init__.py:4(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'listdecl.py:181(MulList)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:386(CompositeModel)'], [['1', 1], '1.1e-05', '1.1e-05', '1.1e-05', '1.1e-05', '~:0(&lt;binascii.hexlify&gt;)'], [['1', 1], '0', '0', '0', '0', 'six.py:84(_LazyDescr)'], [['1', 1], '1e-06', '1e-06', '2e-06', '2e-06', 'model.py:292(force_the_name)'], [['1', 1], '0.001423', '0.001423', '0.00727', '0.00727', 'executionengine.py:1(&lt;module&gt;)'], [['2', 2], '4e-06', '2e-06', '1.7e-05', '8.5e-06', 'transforms.py:7(create_pass_manager_builder)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:196(IndirectBranch)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:782(add_metaclass)'], [['1', 1], '0.000298', '0.000298', '0.000822', '0.000822', 'pickle.py:25(&lt;module&gt;)'], [['1', 1], '7e-06', '7e-06', '1.1e-05', '1.1e-05', 'numbers.py:295(Integral)'], [['22', 22], '2.6e-05', '1.182e-06', '3e-05', '1.364e-06', '__init__.py:312(getMessage)'], [['2', 2], '3e-06', '1.5e-06', '1.4e-05', '7e-06', 'os.py:472(__setitem__)'], [['1', 1], '0.00577', '0.00577', '0.006542', '0.006542', '__init__.py:88(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'cpu.py:26(EnvBody)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'difflib.py:44(SequenceMatcher)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', 'castgraph.py:79(__init__)'], [['1', 1], '0', '0', '0', '0', '__init__.py:168(c_short)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', '&lt;string&gt;:1(Traceback)'], [['54', 54], '2.1e-05', '3.889e-07', '2.1e-05', '3.889e-07', 'common.py:34(_is_shutting_down)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:428(LibraryLoader)'], [['2', 2], '5e-06', '2.5e-06', '1e-05', '5e-06', 'six.py:112(_resolve)'], [['12', 12], '2.4e-05', '2e-06', '3.9e-05', '3.25e-06', 'collections.py:105(keys)'], [['42', 42], '9e-06', '2.143e-07', '9e-06', '2.143e-07', 'builtins.py:143(&lt;genexpr&gt;)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', '&lt;string&gt;:1(_LowerResult)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:225(PowerBuiltin)'], [['8381', 8381], '0.002082', '2.484e-07', '0.004761', '5.681e-07', '~:0(&lt;method &#39;get&#39; of &#39;dict&#39; objects&gt;)'], [['79', 79], '2.3e-05', '2.911e-07', '2.3e-05', '2.911e-07', 'npydecl.py:306(typing_class)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'pickle.py:82(_Stop)'], [['96', 96], '9.4e-05', '9.792e-07', '9.4e-05', '9.792e-07', 'functools.py:39(wraps)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:137(MovedAttribute)'], [['1', 1], '0.001679', '0.001679', '0.1275', '0.1275', 'devices.py:12(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'polyutils.py:79(PolyBase)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:281(CompareInstr)'], [['4', 4], '4e-06', '1e-06', '4e-06', '1e-06', 'values.py:207(__eq__)'], [['1', 1], '1e-05', '1e-05', '0.000118', '0.000118', 'solver.py:75(__init__)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'config.py:41(_EnvReloader)'], [['1', 1], '0', '0', '0', '0', 'fancy_getopt.py:476(OptionDummy)'], [['1', 1], '0', '0', '0', '0', 'models.py:244(IntegerModel)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'driver.py:156(Driver)'], [['23', 23], '0.000203', '8.826e-06', '0.000593', '2.578e-05', 'state.py:123(__init__)'], [['21', 21], '1.1e-05', '5.238e-07', '1.3e-05', '6.19e-07', 'string.py:484(translate)'], [['1', 1], '0.0001', '0.0001', '0.000117', '0.000117', 'singledispatch_helpers.py:56(ChainMap)'], [['4', 4], '5e-06', '1.25e-06', '5e-06', '1.25e-06', 'core.py:86(doc_note)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:51(Float)'], [['1', 1], '0', '0', '0', '0', 'models.py:968(RangeModel)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:543(If)'], [['1', 1], '0', '0', '0', '0', 'six.py:225(_MovedItems)'], [['1', 1], '0.003123', '0.003123', '0.004351', '0.004351', 'lowering.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'traffic_1D_constants.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'dispatcher.py:377(LiftedLoop)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:955(PlaceHolder)'], [['1', 1], '0.000365', '0.000365', '0.000395', '0.000395', 'templates.py:3(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'base.py:24(InstanceModel)'], [['387', 387], '9.6e-05', '2.481e-07', '9.6e-05', '2.481e-07', '~:0(&lt;next&gt;)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:689(Float)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:134(TimedeltaTrueDiv)'], [['1', 1], '4e-06', '4e-06', '1.2e-05', '1.2e-05', 'builtins.py:188(BinOpMod)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'util.py:140(VerifyError)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'index_tricks.py:475(ndenumerate)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;_hashlib.openssl_sha384&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'info.py:184(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:768(Union)'], [['1', 1], '1.2e-05', '1.2e-05', '1.4e-05', '1.4e-05', 'cpu.py:33(CPUContext)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'core.py:792(_DomainSafeDivide)'], [['1', 1], '4e-06', '4e-06', '0.000477', '0.000477', 'module.py:140(link_in)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'devices.py:1(FakeCUDAContext)'], [['6', 6], '2e-06', '3.333e-07', '6e-06', '1e-06', 'opcode.py:39(jabs_op)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'typeinfer.py:206(PairFirstConstraint)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'arrayprint.py:528(FloatFormat)'], [['7', 7], '0.0445', '0.006357', '0.04533', '0.006476', 'executionengine.py:95(finalize_object)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:691(TernaryOp)'], [['1', 1], '0', '0', '0', '0', 'types.py:131(Undefined)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'kernel.py:92(BlockThread)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '_iotools.py:452(ConverterLockError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'log.py:56(set_threshold)'], [['1', 1], '2e-06', '2e-06', '1e-05', '1e-05', 'mathdecl.py:43(Math_atan2)'], [['6', 6], '4e-06', '6.667e-07', '4e-06', '6.667e-07', 'builder.py:95(function)'], [['1', 1], '0.000278', '0.000278', '0.004419', '0.004419', 'npyimpl.py:3(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'ir.py:411(Assign)'], [['1', 1], '6e-06', '6e-06', '3.9e-05', '3.9e-05', 'nvvm.py:55(NVVM)'], [['472', 472], '0.000598', '1.267e-06', '0.000796', '1.686e-06', 'collections.py:59(__setitem__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'handlers.py:872(SMTPHandler)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:679(Dot)'], [['1', 1], '1e-05', '1e-05', '1.1e-05', '1.1e-05', 'platform.py:35(Toolchain)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'handlers.py:84(RotatingFileHandler)'], [['1', 1], '2e-06', '2e-06', '8e-06', '8e-06', 'extras.py:1429(__init__)'], [['1', 1], '0.001492', '0.001492', '0.01729', '0.01729', 'api.py:48(__init__)'], [['1', 1], '0', '0', '0', '0', 'instructions.py:192(ConditionalBranch)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:140(UnaryPositiveArray)'], [['25', 25], '1.4e-05', '5.6e-07', '1.4e-05', '5.6e-07', '~:0(&lt;method &#39;rpartition&#39; of &#39;str&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:358(LiteralStructType)'], [['46', 46], '2.9e-05', '6.304e-07', '2.9e-05', '6.304e-07', '~:0(&lt;method &#39;insert&#39; of &#39;list&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'parallel.py:26(ParallelUFuncBuilder)'], [['13128', 13128], '0.005356', '4.08e-07', '0.005356', '4.08e-07', '~:0(&lt;min&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'module.py:202(_dispose)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;method &#39;__enter__&#39; of &#39;thread.lock&#39; objects&gt;)'], [['1', 1], '6e-06', '6e-06', '3.9e-05', '3.9e-05', 'instructions.py:380(descr)'], [['1', 1], '0.000997', '0.000997', '0.001372', '0.001372', 'cmathimpl.py:3(&lt;module&gt;)'], [['1', 1], '3.1e-05', '3.1e-05', '3.3e-05', '3.3e-05', '__init__.py:9(&lt;module&gt;)'], [['8', 8], '3.4e-05', '4.25e-06', '7.2e-05', '9e-06', 'types.py:418(__init__)'], [['6', 6], '0.000116', '1.933e-05', '0.003822', '0.000637', 'dispatcher.py:721(_load_index)'], [['420', 420], '0.000136', '3.238e-07', '0.000136', '3.238e-07', 'abstracttypes.py:85(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:357(TupleNe)'], [['8', 8], '0.000175', '2.187e-05', '0.000617', '7.712e-05', 'values.py:375(__init__)'], [['4', 4], '1.1e-05', '2.75e-06', '0.000101', '2.525e-05', 'instructions.py:346(descr)'], [['306', 306], '0.00044', '1.438e-06', '0.000521', '1.703e-06', 'templates.py:550(register_global)'], [['1', 1], '0', '0', '0', '0', '_iotools.py:460(ConversionWarning)'], [['1', 1], '0.00061', '0.00061', '0.004254', '0.004254', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '0.001', '0.001', '0.002038', '0.002038', 'case.py:1(&lt;module&gt;)'], [['1', 1], '0.000219', '0.000219', '0.000241', '0.000241', '__init__.py:10(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arraydecl.py:160(SetItemBuffer)'], [['210/184', 210], '0.000744', '4.043e-06', '0.001658', '9.011e-06', 'abc.py:148(__subclasscheck__)'], [['2', 2], '1e-06', '5e-07', '1e-06', '5e-07', '~:0(&lt;_cffi_backend.new_pointer_type&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:632(Return)'], [['6', 6], '0', '0', '0', '0', 'npdatetime.py:523(_create_datetime_comparison_impl)'], [['7', 7], '9.2e-05', '1.314e-05', '0.000979', '0.0001399', 'codegen.py:39(__init__)'], [['1', 1], '7e-06', '7e-06', '1.9e-05', '1.9e-05', 'dispatcher.py:547(FunctionCache)'], [['6', 6], '4e-06', '6.667e-07', '4e-06', '6.667e-07', 'types.py:82(__lt__)'], [['23814', 23814], '0.00393', '1.65e-07', '0.00393', '1.65e-07', '~:0(&lt;method &#39;append&#39; of &#39;list&#39; objects&gt;)'], [['12', 12], '1.4e-05', '1.167e-06', '1.4e-05', '1.167e-06', 'types.py:151(__init__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'c_ast.py:276(Continue)'], [['1', 1], '0.000448', '0.000448', '0.000526', '0.000526', 'npdatetime.py:3(&lt;module&gt;)'], [['1', 1], '6.3e-05', '6.3e-05', '7e-05', '7e-05', 'getlimits.py:3(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:13(Print)'], [['1', 1], '4e-06', '4e-06', '7e-06', '7e-06', 'geometry.py:637(_check_validity)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'utils.py:188(SortedSet)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'ctypeslib.py:150(_ndptr)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'case.py:25(SkipTest)'], [['1', 1], '4e-06', '4e-06', '1.8e-05', '1.8e-05', 'driver.py:920(Stream)'], [['1', 1], '0', '0', '0', '0', 'singledispatch_helpers.py:152(MappingProxyType)'], [['1', 1], '8e-06', '8e-06', '3.7e-05', '3.7e-05', 'builtins.py:304(OrderedCmpOp)'], [['1', 1], '0.000629', '0.000629', '0.000693', '0.000693', 'api.py:1(&lt;module&gt;)'], [['1', 1], '0.000226', '0.000226', '0.000343', '0.000343', 'array_exprs.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'npy_pkg_config.py:81(LibraryInfo)'], [['24', 24], '2.2e-05', '9.167e-07', '2.7e-05', '1.125e-06', '__init__.py:177(_checkLevel)'], [['84', 84], '9e-06', '1.071e-07', '9e-06', '1.071e-07', '~:0(&lt;method &#39;isspace&#39; of &#39;str&#39; objects&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'runner.py:119(TextTestRunner)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'model.py:216(PointerType)'], [['24060', 24060], '0.005936', '2.467e-07', '0.005936', '2.467e-07', 'state.py:94(grid)'], [['1', 1], '3.6e-05', '3.6e-05', '3.7e-05', '3.7e-05', 'io_support.py:1(&lt;module&gt;)'], [['6', 6], '0.002474', '0.0004123', '0.002474', '0.0004123', '~:0(&lt;cPickle.load&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arrayprint.py:634(IntegerFormat)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:481(StaticGetItemTuple)'], [['1', 1], '1.6e-05', '1.6e-05', '1.9e-05', '1.9e-05', 'utils.py:478(finalize)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:440(For)'], [['6', 6], '0.00766', '0.001277', '0.007725', '0.001287', 'module.py:26(parse_bitcode)'], [['1', 1], '1e-06', '1e-06', '2e-06', '2e-06', '_osx_support.py:260(_override_all_archs)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'utils.py:166(SortedMap)'], [['1', 1], '2.1e-05', '2.1e-05', '0.002267', '0.002267', 'atomicops.py:149(create_nrt_module)'], [['38', 38], '0.000194', '5.105e-06', '0.001479', '3.892e-05', 'values.py:148(__str__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'util.py:336(ForkAwareThreadLock)'], [['88', 88], '4.8e-05', '5.455e-07', '8.3e-05', '9.432e-07', 'copy_reg.py:92(__newobj__)'], [['31', 31], '0.000108', '3.484e-06', '0.000116', '3.742e-06', 'types.py:182(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'config.py:318(ConvertingDict)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:682(EnumerateType)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'base.py:253(ClassAttribute)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:489(FuncDef)'], [['1', 1], '0.002144', '0.002144', '0.07406', '0.07406', 'config.py:1(&lt;module&gt;)'], [['2', 2], '3e-06', '1.5e-06', '3e-06', '1.5e-06', '~:0(&lt;_struct.unpack&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'static_raise.py:5(RewriteConstRaises)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'stubs.py:348(add)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:536(Pair)'], [['14', 14], '8e-06', '5.714e-07', '7e-05', '5e-06', 'typeconv.py:39(set_promote)'], [['105', 105], '0.000318', '3.029e-06', '0.000578', '5.505e-06', 'functools.py:17(update_wrapper)'], [['1', 1], '2e-06', '2e-06', '8e-06', '8e-06', 'threading.py:400(notifyAll)'], [['2', 2], '3.4e-05', '1.7e-05', '3.4e-05', '1.7e-05', '~:0(&lt;posix.uname&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'util.py:674(FrameCounter)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'getlimits.py:25(finfo)'], [['4886', 4886], '0.003862', '7.904e-07', '0.01281', '2.622e-06', 'solution.py:78(__setattr__)'], [['14', 14], '1.8e-05', '1.286e-06', '5.1e-05', '3.643e-06', 'typeof.py:81(_typeof_int)'], [['1', 1], '1e-05', '1e-05', '1e-05', '1e-05', 'py3k.py:4(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'compiler.py:66(DeviceFunctionTemplate)'], [['8', 8], '2.7e-05', '3.375e-06', '0.000731', '9.137e-05', 'dispatcher.py:289(enable_caching)'], [['26', 26], '0.000108', '4.154e-06', '0.000166', '6.385e-06', 'numerictypes.py:229(bitname)'], [['1', 1], '2e-06', '2e-06', '3e-06', '3e-06', 'model.py:256(__init__)'], [['1', 1], '4e-06', '4e-06', '2.3e-05', '2.3e-05', 'builder.py:477(branch)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:411(NdConstructor)'], [['8', 8], '6e-06', '7.5e-07', '6e-06', '7.5e-07', '~:0(&lt;numba.typeconv._typeconv.get_pointer&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'bytecode.py:236(ByteCodeIter)'], [['110', 110], '0.000236', '2.145e-06', '0.000804', '7.309e-06', 'abc.py:128(__instancecheck__)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:126(TimedeltaBinSub)'], [['1', 1], '1.1e-05', '1.1e-05', '1.1e-05', '1.1e-05', 'function_base.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'model.py:70(__init__)'], [['176', 176], '0.000244', '1.386e-06', '0.00076', '4.318e-06', 'typeconv.py:31(set_compatible)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', '__init__.py:347(Formatter)'], [['340', 340], '5.3e-05', '1.559e-07', '5.3e-05', '1.559e-07', 'StringIO.py:38(_complain_ifclosed)'], [['15773/15520', 15773], '0.001264', '8.144e-08', '0.001495', '9.633e-08', '~:0(&lt;hash&gt;)'], [['1', 1], '0', '0', '0', '0', 'testing.py:41(SerialSuite)'], [['1', 1], '9.4e-05', '9.4e-05', '9.7e-05', '9.7e-05', 'common.py:2(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'instructions.py:12(Instruction)'], [['1', 1], '0', '0', '0', '0', '_endian.py:26(_swapped_meta)'], [['1', 1], '0.000563', '0.000563', '0.000584', '0.000584', '__init__.py:6(&lt;module&gt;)'], [['2', 2], '0', '0', '0', '0', 'npdatetime.py:452(_datetime_timedelta_arith)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'cffi_utils.py:129(ExternCFunction)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', '__config__.py:3(&lt;module&gt;)'], [['149', 149], '7.2e-05', '4.832e-07', '0.000148', '9.933e-07', 'collections.py:361(&lt;genexpr&gt;)'], [['1', 1], '0', '0', '0', '0', 'yacc.py:1225(MiniProduction)'], [['1', 1], '0', '0', '0', '0', 'externals.py:119(_ExternalMathFunctions)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'arrayterator.py:20(Arrayterator)'], [['1', 1], '5e-06', '5e-06', '9e-06', '9e-06', 'randomdecl.py:42(Random_randint)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'abstracttypes.py:242(DTypeSpec)'], [['3', 3], '0', '0', '0', '0', 'core.py:818(__init__)'], [['1', 1], '0.000747', '0.000747', '0.006442', '0.006442', 'core.py:7(&lt;module&gt;)'], [['1', 1], '0.000549', '0.000549', '0.000794', '0.000794', 'arrayprint.py:5(&lt;module&gt;)'], [['7', 7], '0', '0', '0', '0', 'types.py:91(__str__)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'instructions.py:254(SelectInstr)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'lowering.py:37(BaseLower)'], [['1', 1], '3.3e-05', '3.3e-05', '3.8e-05', '3.8e-05', 'static_raise.py:1(&lt;module&gt;)'], [['10', 10], '4.6e-05', '4.6e-06', '0.000143', '1.43e-05', 'executionengine.py:129(_find_module_ptr)'], [['1', 1], '2.7e-05', '2.7e-05', '2.7e-05', '2.7e-05', 'base.py:118(BaseContext)'], [['2', 2], '5e-06', '2.5e-06', '5e-06', '2.5e-06', 'model.py:288(build_c_name_with_marker)'], [['2', 2], '5e-06', '2.5e-06', '5e-06', '2.5e-06', '~:0(&lt;numpy.core.multiarray.set_string_function&gt;)'], [['13', 13], '3.4e-05', '2.615e-06', '0.000146', '1.123e-05', 'values.py:508(__init__)'], [['1', 1], '0', '0', '0', '0', 'index_tricks.py:603(IndexExpression)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'io.py:76(BufferedIOBase)'], [['1', 1], '0.000163', '0.000163', '0.002648', '0.002648', 'value.py:2(&lt;module&gt;)'], [['1', 1], '0.000386', '0.000386', '0.000407', '0.000407', 'initfini.py:13(initialize_native_target)'], [['1', 1], '1.6e-05', '1.6e-05', '6.3e-05', '6.3e-05', 'module.py:118(data_layout)'], [['7', 7], '5.6e-05', '8e-06', '0.000162', '2.314e-05', 'executionengine.py:85(add_module)'], [['147/110', 147], '0.000316', '2.873e-06', '0.002082', '1.893e-05', '~:0(&lt;print&gt;)'], [['3', 3], '9e-06', '3e-06', '6.7e-05', '2.233e-05', 'builder.py:484(cbranch)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'values.py:220(NamedMetaData)'], [['89', 89], '0.000438', '4.921e-06', '0.003214', '3.611e-05', 'geometry.py:696(__init__)'], [['12', 12], '1.6e-05', '1.333e-06', '2e-05', '1.667e-06', 'threading.py:1143(currentThread)'], [['1', 1], '1e-05', '1e-05', '0.001887', '0.001887', '__init__.py:887(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'handlers.py:52(BaseRotatingHandler)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'nrt.py:15(__init__)'], [['5', 5], '1.1e-05', '2.2e-06', '2.5e-05', '5e-06', 'weakref.py:105(__setitem__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'reduction.py:127(Reduce)'], [['2', 2], '0', '0', '0', '0', 'types.py:1490(key)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'records.py:83(format_parser)'], [['1', 1], '2e-06', '2e-06', '5e-06', '5e-06', 'UserDict.py:57(items)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:24(PrintItem)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:86(LabelType)'], [['1', 1], '8e-06', '8e-06', '6.4e-05', '6.4e-05', 'controller.py:216(check_validity)'], [['31', 31], '9e-06', '2.903e-07', '9e-06', '2.903e-07', 'mathimpl.py:100(_unary_int_input_wrapper_impl)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'kernelapi.py:102(FakeCUDAAtomic)'], [['44', 44], '0.000645', '1.466e-05', '0.01556', '0.0003537', 'geometry.py:736(__deepcopy__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'testing.py:346(RefleakTestRunner)'], [['1', 1], '0', '0', '0', '0', 'six.py:367(Module_six_moves_urllib_request)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:557(SliceAttribute)'], [['12', 12], '5e-06', '4.167e-07', '5e-06', '4.167e-07', '_abcoll.py:98(__subclasshook__)'], [['1', 1], '7.3e-05', '7.3e-05', '0.0001', '0.0001', 'dataflow.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ConfigParser.py:147(DuplicateSectionError)'], [['17', 17], '2.4e-05', '1.412e-06', '0.000123', '7.235e-06', 'templates.py:507(wrapper)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'log.py:14(Log)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:675(Int)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', '_utils.py:8(NameScope)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'testing.py:258(ReferenceLeakError)'], [['1', 1], '0', '0', '0', '0', 'SocketServer.py:614(ForkingTCPServer)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:373(TupleLt)'], [['1', 1], '2.8e-05', '2.8e-05', '6.4e-05', '6.4e-05', 'config.py:72(process_environ)'], [['1', 1], '0.00045', '0.00045', '0.000488', '0.000488', 'model.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;method &#39;sort&#39; of &#39;list&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '8e-06', '8e-06', 'randomdecl.py:29(Random_getrandbits)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'burgers_1D_constants.py:1(&lt;module&gt;)'], [['40', 40], '8.4e-05', '2.1e-06', '0.001609', '4.023e-05', 'dispatcher.py:239(typeof_pyval)'], [['18', 18], '2e-06', '1.111e-07', '2e-06', '1.111e-07', 'builder.py:18(_binop)'], [['1', 1], '4e-06', '4e-06', '5e-06', '5e-06', 'dispatcher.py:67(CUDAUFuncDispatcher)'], [['6', 6], '3.9e-05', '6.5e-06', '7.4e-05', '1.233e-05', 'base.py:242(insert_user_function)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'six.py:162(_SixMetaPathImporter)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'tokenize.py:146(TokenError)'], [['72', 72], '1.4e-05', '1.944e-07', '1.4e-05', '1.944e-07', 'sre_parse.py:221(isident)'], [['1', 1], '3.9e-05', '3.9e-05', '4e-05', '4e-05', 'plot.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:370(Enum)'], [['1', 1], '0', '0', '0', '0', 'burgers_3D_constants.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'errors.py:70(CCompilerError)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:259(BitwiseXor)'], [['40', 40], '6.1e-05', '1.525e-06', '0.00055', '1.375e-05', 'core.py:105(get_object_signature)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'index_tricks.py:87(nd_grid)'], [['1', 1], '2.2e-05', '2.2e-05', '2.2e-05', '2.2e-05', 'interpreter.py:89(Interpreter)'], [['2', 2], '8e-06', '4e-06', '9e-06', '4.5e-06', 'types.py:1330(__init__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:385(WeakType)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:150(TimedeltaCmpNe)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'deviceufunc.py:534(GUFuncEngine)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'core.py:2305(_MaskedPrintOption)'], [['17', 17], '2.6e-05', '1.529e-06', '2.6e-05', '1.529e-06', 'ffi.py:89(__bool__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:251(FloatModel)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npydecl.py:530(NdEye)'], [['8', 8], '3.5e-05', '4.375e-06', '5.2e-05', '6.5e-06', 'types.py:26(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'driver.py:889(OwnedPointer)'], [['1', 1], '0', '0', '0', '0', 'types.py:141(Opaque)'], [['1', 1], '5.5e-05', '5.5e-05', '6.933', '6.933', 'util.py:84(run_app_from_main)'], [['1', 1], '2e-05', '2e-05', '2e-05', '2e-05', '&lt;string&gt;:1(Mismatch)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'utils.py:210(NonReentrantLock)'], [['1', 1], '0.000883', '0.000883', '0.05723', '0.05723', 'dylib.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'macro.py:145(Macro)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'callconv.py:273(CPUCallConv)'], [['2', 2], '1.1e-05', '5.5e-06', '2.5e-05', '1.25e-05', 'types.py:1172(__init__)'], [['6', 6], '2e-05', '3.333e-06', '3e-05', '5e-06', 'hashlib.py:100(__get_openssl_constructor)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:980(NdIndexType)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npdatetime.py:229(DatetimeCmpOp)'], [['6', 6], '1.7e-05', '2.833e-06', '1.9e-05', '3.167e-06', 'utils.py:226(release)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'npyimpl.py:46(_ScalarHelper)'], [['11', 11], '7.6e-05', '6.909e-06', '0.0001', '9.091e-06', '__init__.py:1225(findCaller)'], [['74', 74], '0.000145', '1.959e-06', '0.000214', '2.892e-06', 'threading.py:187(release)'], [['1', 1], '0', '0', '0', '0', 'case.py:98(_AssertRaisesContext)'], [['1', 1], '0.000103', '0.000103', '0.000158', '0.000158', 'randomimpl.py:3(&lt;module&gt;)'], [['838', 838], '0.000884', '1.055e-06', '0.000967', '1.154e-06', 'imputils.py:33(decorate)'], [['2213', 2213], '0.000913', '4.126e-07', '0.002511', '1.135e-06', 'abstracttypes.py:105(__ne__)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:114(TimedeltaUnaryPos)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'vc_advection_3D_constants.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:79(__str__)'], [['1', 1], '0.000662', '0.000662', '0.000662', '0.000662', 'c_parser.py:19(CParser)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', '__init__.py:444(Signature)'], [['2', 2], '6e-06', '3e-06', '6e-06', '3e-06', 'numeric.py:2578(geterr)'], [['1', 1], '0', '0', '0', '0', 'types.py:1421(NoneType)'], [['76', 76], '5e-05', '6.579e-07', '5e-05', '6.579e-07', 'npyimpl.py:392(_KernelImpl)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'vectorizers.py:48(CUDAGUFuncVectorize)'], [['1', 1], '0', '0', '0', '0', 'lex.py:69(LexToken)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', '__init__.py:207(Parameter)'], [['1', 1], '0', '0', '0', '0', 'instructions.py:493(InsertValue)'], [['11', 11], '8e-06', '7.273e-07', '8e-06', '7.273e-07', '~:0(&lt;posix.getpid&gt;)'], [['1', 1], '0', '0', '0', '0', 'cffi_utils.py:145(FFI_from_buffer)'], [['1', 1], '0.000972', '0.000972', '0.001095', '0.001095', 'solution.py:5(&lt;module&gt;)'], [['4', 4], '8e-06', '2e-06', '1.7e-05', '4.25e-06', 'core.py:135(array)'], [['1', 1], '0.00039', '0.00039', '0.0004', '0.0004', 'initfini.py:21(initialize_native_asmprinter)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:654(ListIterModel)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:604(ParamList)'], [['2', 2], '1.5e-05', '7.5e-06', '0.00124', '0.00062', 'passes.py:33(create_pass_manager_builder)'], [['13', 13], '5e-06', '3.846e-07', '4.5e-05', '3.462e-06', 'singledispatch.py:202(&lt;lambda&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'shallow_roe_with_efix_2D_constants.py:1(&lt;module&gt;)'], [['22', 22], '9.3e-05', '4.227e-06', '9.3e-05', '4.227e-06', '~:0(&lt;time.localtime&gt;)'], [['10', 10], '1.4e-05', '1.4e-06', '1.6e-05', '1.6e-06', 'ccompiler.py:23(replace_method)'], [['1', 1], '1.7e-05', '1.7e-05', '1.7e-05', '1.7e-05', 'special.py:1(&lt;module&gt;)'], [['1', 1], '3.2e-05', '3.2e-05', '3.6e-05', '3.6e-05', 'manager.py:1(&lt;module&gt;)'], [['4', 4], '5e-06', '1.25e-06', '6.7e-05', '1.675e-05', 'instructions.py:343(__init__)'], [['1', 1], '0.002035', '0.002035', '0.009768', '0.009768', 'ccompiler.py:1(&lt;module&gt;)'], [['6', 6], '9e-06', '1.5e-06', '1.4e-05', '2.333e-06', 'sigutils.py:14(normalize_signature)'], [['12', 12], '0.001041', '8.675e-05', '0.001977', '0.0001647', '~:0(&lt;cPickle.loads&gt;)'], [['11', 11], '1.5e-05', '1.364e-06', '2.8e-05', '2.545e-06', 'posixpath.py:112(basename)'], [['1', 1], '0.000468', '0.000468', '0.01371', '0.01371', 'inspect.py:25(&lt;module&gt;)'], [['2', 2], '1.1e-05', '5.5e-06', '1.1e-05', '5.5e-06', '~:0(&lt;posix.putenv&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:4(&lt;module&gt;)'], [['1', 1], '2.2e-05', '2.2e-05', '0.02635', '0.02635', 'rules.py:16(_init_casting_rules)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:394(InplaceImmutable)'], [['87', 87], '0.000601', '6.908e-06', '0.003335', '3.833e-05', 'sre_compile.py:433(_compile_info)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'getopt.py:38(GetoptError)'], [['5', 5], '3e-06', '6e-07', '6e-06', '1.2e-06', 'weakref.py:277(__new__)'], [['1', 1], '5e-06', '5e-06', '3.1e-05', '3.1e-05', 'sysconfig.py:50(_python_build)'], [['1', 1], '4e-06', '4e-06', '1.4e-05', '1.4e-05', 'utils.py:266(runonce)'], [['12', 12], '6e-05', '5e-06', '0.000303', '2.525e-05', '__init__.py:1017(getLogger)'], [['15', 15], '6e-06', '4e-07', '6e-06', '4e-07', 'codegen.py:65(_ensure_finalized)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'module.py:193(_dispose)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'solution.py:91(patch)'], [['181', 181], '7.6e-05', '4.199e-07', '7.6e-05', '4.199e-07', 'templates.py:26(__init__)'], [['4815', 4815], '0.004237', '8.8e-07', '0.004237', '8.8e-07', '__init__.py:1338(getEffectiveLevel)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:420(CmpOpIsNot)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arrayprint.py:711(DatetimeFormat)'], [['2', 2], '0', '0', '0', '0', 'core.py:833(__init__)'], [['74', 74], '0.000116', '1.568e-06', '0.000116', '1.568e-06', '~:0(&lt;repr&gt;)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', 'decoder.py:302(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:122(_LazyModule)'], [['1', 1], '0', '0', '0', '0', 'instructions.py:342(CastInstr)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'compiler.py:68(ExportEntry)'], [['54', 54], '4.2e-05', '7.778e-07', '8e-05', '1.481e-06', 'ffi.py:76(__del__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:1378(Optional)'], [['4', 4], '1.8e-05', '4.5e-06', '9.9e-05', '2.475e-05', 'builder.py:70(wrapped)'], [['1', 1], '0', '0', '0', '0', 'ConfigParser.py:165(InterpolationError)'], [['1', 1], '0.0767', '0.0767', '0.7015', '0.7015', '__init__.py:5(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'dufunc.py:43(DUFuncLowerer)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'yacc.py:117(PlyLogger)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:699(ZipType)'], [['1', 1], '4.8e-05', '4.8e-05', '6.8e-05', '6.8e-05', 'ccompiler.py:4(&lt;module&gt;)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'types.py:890(Array)'], [['3', 3], '1.2e-05', '4e-06', '1.2e-05', '4e-06', '~:0(&lt;_curses.tigetstr&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'singledispatch_helpers.py:29(recursive_repr)'], [['1', 1], '1e-06', '1e-06', '2e-06', '2e-06', 'threading.py:300(_is_owned)'], [['1', 1], '6e-06', '6e-06', '0.001004', '0.001004', 'config.py:350(BaseConfigurator)'], [['1', 1], '0.001297', '0.001297', '0.002115', '0.002115', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '6e-06', '6e-06', '5.4e-05', '5.4e-05', 'cgutils.py:599(unpack_tuple)'], [['5', 5], '6e-06', '1.2e-06', '7e-06', '1.4e-06', '__init__.py:188(__new__)'], [['1', 1], '8e-06', '8e-06', '1.3e-05', '1.3e-05', 'initialize.py:8(initialize_all)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'config.py:489(DictConfigurator)'], [['1', 1], '7.9e-05', '7.9e-05', '8.1e-05', '8.1e-05', 'error.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', '__init__.py:74(BufferTooShort)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'core.py:6251(_frommethod)'], [['1', 1], '0.000437', '0.000437', '0.000437', '0.000437', '~:0(&lt;method &#39;astype&#39; of &#39;numpy.generic&#39; objects&gt;)'], [['31', 31], '1.1e-05', '3.548e-07', '1.3e-05', '4.194e-07', '_inspect.py:43(iscode)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'utils.py:309(BenchmarkResult)'], [['1', 1], '0', '0', '0', '0', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '5.7e-05', '5.7e-05', '5.7e-05', '5.7e-05', '~:0(&lt;compile&gt;)'], [['149', 149], '8.4e-05', '5.638e-07', '0.000253', '1.698e-06', 'collections.py:363(&lt;genexpr&gt;)'], [['4804', 4804], '0.03161', '6.58e-06', '0.03161', '6.58e-06', '~:0(&lt;method &#39;reduce&#39; of &#39;numpy.ufunc&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:121(InvokeInstr)'], [['1', 1], '4e-06', '4e-06', '9e-06', '9e-06', 'cmathdecl.py:12(CMath_unary)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'weakref.py:110(clear)'], [['35', 35], '1.4e-05', '4e-07', '2.8e-05', '8e-07', '~:0(&lt;any&gt;)'], [['1', 1], '2.3e-05', '2.3e-05', '2.7e-05', '2.7e-05', 'cfl.py:4(&lt;module&gt;)'], [['28', 28], '6.5e-05', '2.321e-06', '0.000103', '3.679e-06', 'posixpath.py:61(join)'], [['1', 1], '0.005718', '0.005718', '7.827', '7.827', 'shocksine.py:23(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'socket.py:171(_closedsocket)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:532(Iterator)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'extras.py:1413(mr_class)'], [['1', 1], '0.000269', '0.000269', '0.001562', '0.001562', 'mathdecl.py:1(&lt;module&gt;)'], [['2', 2], '1.4e-05', '7e-06', '1.4e-05', '7e-06', 'targets.py:249(add_pass)'], [['8', 8], '1.1e-05', '1.375e-06', '5.1e-05', '6.375e-06', 'types.py:409(__hash__)'], [['1', 1], '1e-06', '1e-06', '0.000522', '0.000522', 'passmanagers.py:6(create_module_pass_manager)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', '__init__.py:144(EnumMeta)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', '__init__.py:1104(Logger)'], [['1', 1], '0.000289', '0.000289', '6.917', '6.917', 'controller.py:276(run)'], [['8', 8], '1.9e-05', '2.375e-06', '0.002526', '0.0003158', 'values.py:437(descr)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'cparser.py:97(Parser)'], [['6', 6], '1e-05', '1.667e-06', '1e-05', '1.667e-06', '~:0(&lt;numba._dynfunc.make_function&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:356(LoadInstr)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:744(NdIndex)'], [['6', 6], '4e-06', '6.667e-07', '6e-06', '1e-06', 'abstracttypes.py:273(__init__)'], [['33', 33], '2.4e-05', '7.273e-07', '2.4e-05', '7.273e-07', '__init__.py:599(filter)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'models.py:700(OptionalModel)'], [['1', 1], '0.002131', '0.002131', '0.002267', '0.002267', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'warnings.py:361(__enter__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;_hashlib.openssl_sha1&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:284(DelItem)'], [['1', 1], '0.00013', '0.00013', '0.00013', '0.00013', 'utils.py:2(&lt;module&gt;)'], [['1', 1], '1.1e-05', '1.1e-05', '1.4e-05', '1.4e-05', 'StringIO.py:30(&lt;module&gt;)'], [['8', 8], '6e-06', '7.5e-07', '0.002211', '0.0002764', 'castgraph.py:128(promote)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'options.py:7(TargetOptions)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:365(TupleGt)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'devices.py:20(_DeviceList)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'random.py:805(SystemRandom)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:377(IdentifiedStructType)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'info.py:3(&lt;module&gt;)'], [['17', 17], '1.4e-05', '8.235e-07', '2.7e-05', '1.588e-06', 'templates.py:545(register_attr)'], [['1', 1], '4.6e-05', '4.6e-05', '9.3e-05', '9.3e-05', 'six.py:644(exec_)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:692(VDot)'], [['1', 1], '4e-06', '4e-06', '8e-06', '8e-06', 'values.py:115(literal_struct)'], [['2', 2], '9.3e-05', '4.65e-05', '0.0001', '5e-05', 'utils.py:73(__call__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:282(ArrayType)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:708(Loop)'], [['125', 125], '0.00041', '3.28e-06', '0.00041', '3.28e-06', '~:0(&lt;method &#39;readline&#39; of &#39;file&#39; objects&gt;)'], [['1', 1], '0', '0', '0', '0', 'SocketServer.py:624(UnixDatagramServer)'], [['1', 1], '1.8e-05', '1.8e-05', '1.8e-05', '1.8e-05', 'vc_advection_1D_py.py:10(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:689(NestedArrayModel)'], [['28', 28], '6.1e-05', '2.179e-06', '6.5e-05', '2.321e-06', 'ffi.py:82(__str__)'], [['1', 1], '0.000422', '0.000422', '0.001003', '0.001003', 'module.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'listdecl.py:196(ListCompare)'], [['104', 104], '1.7e-05', '1.635e-07', '1.7e-05', '1.635e-07', '~:0(&lt;method &#39;__subclasshook__&#39; of &#39;object&#39; objects&gt;)'], [['1', 1], '0', '0', '0', '0', 'ir.py:459(Global)'], [['8', 8], '1e-06', '1.25e-07', '1e-06', '1.25e-07', 'values.py:353(alignstack)'], [['2', 2], '3e-06', '1.5e-06', '6e-06', '3e-06', 'module.py:173(__init__)'], [['88', 88], '0.000793', '9.011e-06', '0.000793', '9.011e-06', '~:0(&lt;_ctypes.POINTER&gt;)'], [['2', 2], '2e-06', '1e-06', '4e-06', '2e-06', 'imputils.py:80(lower_setattr_generic)'], [['18', 18], '3.3e-05', '1.833e-06', '6.8e-05', '3.778e-06', 'core.py:938(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'SocketServer.py:677(StreamRequestHandler)'], [['1', 1], '0.004028', '0.004028', '0.1345', '0.1345', 'compiler.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'instructions.py:220(SwitchInstr)'], [['1', 1], '1.8e-05', '1.8e-05', '2e-05', '2e-05', 'case.py:131(TestCase)'], [['1', 1], '0.000379', '0.000379', '0.00376', '0.00376', '_internal.py:6(&lt;module&gt;)'], [['1', 1], '0.000226', '0.000226', '0.000255', '0.000255', '__init__.py:5(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'value.py:32(Attribute)'], [['8', 8], '1.2e-05', '1.5e-06', '1.2e-05', '1.5e-06', 'dispatcher.py:70(_make_finalizer)'], [['1', 1], '3.5e-05', '3.5e-05', '3.6e-05', '3.6e-05', 'reduction.py:3(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'six.py:436(Module_six_moves_urllib_robotparser)'], [['9608', 9608], '0.01093', '1.138e-06', '0.1563', '1.626e-05', 'numeric.py:527(ascontiguousarray)'], [['1', 1], '3e-06', '3e-06', '6e-06', '6e-06', 'threading.py:373(notify)'], [['20', 20], '1.1e-05', '5.5e-07', '1.1e-05', '5.5e-07', 'UserDict.py:103(__contains__)'], [['1', 1], '0', '0', '0', '0', 'ffiplatform.py:8(VerificationMissing)'], [['1', 1], '6.3e-05', '6.3e-05', '0.000144', '0.000144', 'drvapi.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:335(DoWhile)'], [['1', 1], '4e-06', '4e-06', '7e-06', '7e-06', 'six.py:112(_resolve)'], [['1', 1], '0', '0', '0', '0', '__init__.py:116(ModuleDeprecationWarning)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'version.py:3(&lt;module&gt;)'], [['2506/2448', 2506], '0.001564', '6.389e-07', '0.001954', '7.982e-07', 'abstracttypes.py:102(__eq__)'], [['22', 22], '1.1e-05', '5e-07', '1.1e-05', '5e-07', '~:0(&lt;thread.allocate_lock&gt;)'], [['1', 1], '3.5e-05', '3.5e-05', '3.6e-05', '3.6e-05', 'stride_tricks.py:7(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:353(TupleEq)'], [['1', 1], '0.004661', '0.004661', '0.0082', '0.0082', 'c_parser.py:9(&lt;module&gt;)'], [['22', 22], '1.3e-05', '5.909e-07', '1.9e-05', '8.636e-07', 'types.py:189(__eq__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:20(DistutilsClassError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:387(Jump)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:1110(UniTuple)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'driver.py:1025(Module)'], [['1', 1], '0.000862', '0.000862', '0.00122', '0.00122', 'listdecl.py:1(&lt;module&gt;)'], [['1', 1], '8e-06', '8e-06', '0.001134', '0.001134', 'ConfigParser.py:231(RawConfigParser)'], [['1', 1], '0', '0', '0', '0', '__init__.py:193(c_uint)'], [['6', 6], '0.000113', '1.883e-05', '0.0149', '0.002483', 'dispatcher.py:747(_load_data)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:238(c_char)'], [['9', 9], '4.4e-05', '4.889e-06', '6.8e-05', '7.556e-06', '__init__.py:1063(_fixupParents)'], [['1', 1], '1e-05', '1e-05', '2.2e-05', '2.2e-05', 'compiler.py:82(_ModuleCompiler)'], [['1', 1], '3.4e-05', '3.4e-05', '3.6e-05', '3.6e-05', 'extension.py:4(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'SocketServer.py:617(ThreadingTCPServer)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'euler_5wave_2D_constants.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'argpacker.py:6(ArgPacker)'], [['1', 1], '4.3e-05', '4.3e-05', '4.6e-05', '4.6e-05', 'nosetester.py:6(&lt;module&gt;)'], [['29402', 29402], '0.005821', '1.98e-07', '0.005821', '1.98e-07', '~:0(&lt;hasattr&gt;)'], [['1', 1], '3.6e-05', '3.6e-05', '4.5e-05', '4.5e-05', 'numerictypes.py:781(_construct_lookups)'], [['1', 1], '0.000229', '0.000229', '0.001887', '0.001887', 'config.py:5(&lt;module&gt;)'], [['2503', 2503], '0.001058', '4.227e-07', '0.003685', '1.472e-06', 'sre_parse.py:212(get)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'wrappers.py:625(_ArrayAsScalarArgLoader)'], [['4804', 4804], '0.5263', '0.0001095', '0.5263', '0.0001095', 'euler_1D_py.py:146(compute_eigenvector_coeff)'], [['1', 1], '4e-06', '4e-06', '1.6e-05', '1.6e-05', 'threading.py:576(set)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'dispatcher.py:435(NullCache)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:604(Max)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:188(Branch)'], [['4', 4], '1.9e-05', '4.75e-06', '0.000136', '3.4e-05', 'npdatetime.py:98(make_constant_array)'], [['1', 1], '0', '0', '0', '0', 'threading.py:1079(_MainThread)'], [['1', 1], '1.2e-05', '1.2e-05', '2.5e-05', '2.5e-05', 'reduction.py:1(&lt;module&gt;)'], [['2', 2], '1e-06', '5e-07', '0.000429', '0.0002145', 'typeconv.py:72(safe)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:263(Constant)'], [['1', 1], '1.3e-05', '1.3e-05', '0.000368', '0.000368', '__init__.py:55(_ensure_llvm)'], [['2', 2], '1e-06', '5e-07', '1e-06', '5e-07', 'npdatetime.py:262(_create_timedelta_comparison_impl)'], [['5', 5], '3.2e-05', '6.4e-06', '5.4e-05', '1.08e-05', 'posixpath.py:329(normpath)'], [['1', 1], '2e-06', '2e-06', '1.3e-05', '1.3e-05', 'api.py:17(stream)'], [['2044', 2044], '0.000901', '4.408e-07', '0.002175', '1.064e-06', 'castgraph.py:68(__getitem__)'], [['1', 1], '0.001131', '0.001131', '0.004196', '0.004196', 'misc_util.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'testing.py:115(NumbaTestProgram)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arrayprint.py:654(LongFloatFormat)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'shallow_sphere_2D_constants.py:1(&lt;module&gt;)'], [['4', 4], '6e-06', '1.5e-06', '1.5e-05', '3.75e-06', 'core.py:119(null)'], [['44', 44], '0.000163', '3.705e-06', '0.00033', '7.5e-06', 'ConfigParser.py:590(get)'], [['1', 1], '1.3e-05', '1.3e-05', '1.3e-05', '1.3e-05', 'executionengine.py:31(check_jit_execution)'], [['23', 23], '2.7e-05', '1.174e-06', '3.9e-05', '1.696e-06', 'solution.py:125(get_clawpack_dot_xxx)'], [['1', 1], '4.8e-05', '4.8e-05', '4.9e-05', '4.9e-05', 'memmap.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'imputils.py:14(Registry)'], [['1', 1], '2e-06', '2e-06', '3.7e-05', '3.7e-05', 'codegen.py:124(create_ir_module)'], [['83', 83], '8.5e-05', '1.024e-06', '0.000645', '7.771e-06', 'values.py:166(name)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'stubs.py:186(threadfence)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'pickle.py:58(PickleError)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', '~:0(&lt;method &#39;isatty&#39; of &#39;file&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:59(DistutilsInternalError)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'ir.py:540(Scope)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'threading.py:1119(_DummyThread)'], [['1', 1], '3.5e-05', '3.5e-05', '3.5e-05', '3.5e-05', 'npyfuncs.py:5(&lt;module&gt;)'], [['1', 1], '0.000101', '0.000101', '0.000159', '0.000159', 'opcode.py:5(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'driver.py:835(MappedMemory)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npdatetime.py:18(TimedeltaUnaryOp)'], [['1', 1], '0', '0', '0', '0', 'bytecode.py:279(ByteCodeOperation)'], [['1', 1], '0.000209', '0.000209', '0.000238', '0.000238', 'fromnumeric.py:3(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'instructions.py:304(ICMPInstr)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'threading.py:1049(_Timer)'], [['52', 52], '1.2e-05', '2.308e-07', '1.2e-05', '2.308e-07', '~:0(&lt;_ctypes.sizeof&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'compiler.py:281(CachedCUFunction)'], [['57', 57], '4.2e-05', '7.368e-07', '0.000144', '2.526e-06', '__init__.py:62(_is_descriptor)'], [['51', 51], '5e-05', '9.804e-07', '5e-05', '9.804e-07', 'six.py:173(_add_module)'], [['1', 1], '2e-06', '2e-06', '4e-06', '4e-06', 'randomdecl.py:60(Numpy_geometric)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:1292(ListPayload)'], [['1', 1], '4.1e-05', '4.1e-05', '0.000171', '0.000171', 'externals.py:125(_do_install)'], [['7', 7], '5e-06', '7.143e-07', '5e-06', '7.143e-07', 'base.py:46(__init__)'], [['754/718', 754], '0.000372', '5.181e-07', '0.001612', '2.245e-06', '~:0(&lt;issubclass&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'operatordecl.py:24(MappedInplaceOperator)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'cc.py:247(_CCExtension)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'yacc.py:1939(LRGeneratedTable)'], [['1', 1], '0', '0', '0', '0', 'errors.py:29(DistutilsArgError)'], [['1', 1], '0.00028', '0.00028', '0.000855', '0.000855', 'dummyarray.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:484(NdFullLike)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:259(DatetimeCmpGE)'], [['1', 1], '0', '0', '0', '0', 'typeinfer.py:335(IntrinsicCallConstraint)'], [['2', 2], '1e-06', '5e-07', '1e-06', '5e-07', 'index_tricks.py:647(__init__)'], [['2', 2], '4e-06', '2e-06', '2.5e-05', '1.25e-05', 'pythonapi.py:23(register)'], [['1', 1], '0.00055', '0.00055', '0.001388', '0.001388', 'result.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'instructions.py:139(Terminator)'], [['1', 1], '0.002817', '0.002817', '0.1362', '0.1362', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'yacc.py:1152(Production)'], [['1', 1], '0', '0', '0', '0', 'errors.py:9(IRError)'], [['1', 1], '9e-06', '9e-06', '2.1e-05', '2.1e-05', 'process.py:301(__init__)'], [['16', 16], '7e-06', '4.375e-07', '1e-05', '6.25e-07', 'types.py:142(__eq__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:94(_EnumDict)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:298(SetAttr)'], [['1', 1], '0', '0', '0', '0', 'typeinfer.py:182(ExhaustIterConstraint)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:1002(Manager)'], [['1', 1], '3.6e-05', '3.6e-05', '0.000187', '0.000187', 'externals.py:163(_do_install)'], [['6', 6], '1.5e-05', '2.5e-06', '2.2e-05', '3.667e-06', 'utils.py:219(acquire)'], [['2', 2], '8e-06', '4e-06', '1e-05', '5e-06', 'codecs.py:92(__new__)'], [['1', 1], '2.9e-05', '2.9e-05', '2.9e-05', '2.9e-05', '_methods.py:5(&lt;module&gt;)'], [['60', 60], '5e-05', '8.333e-07', '8.1e-05', '1.35e-06', 'copy_reg.py:46(_reconstructor)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'timeit.py:105(Timer)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;_hashlib.openssl_sha256&gt;)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:531(IdentifierType)'], [['1', 1], '0', '0', '0', '0', 'types.py:124(Phantom)'], [['6', 6], '5e-06', '8.333e-07', '6e-06', '1e-06', 'types.py:1175(&lt;genexpr&gt;)'], [['1', 1], '1.8e-05', '1.8e-05', '0.000175', '0.000175', 'config.py:111(_create_formatters)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:1028(BaseTuple)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:203(Case)'], [['1', 1], '0.002033', '0.002033', '0.02275', '0.02275', '__init__.py:7(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'testing.py:410(_FakeStringIO)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:802(DeferredAttribute)'], [['7', 7], '5.4e-05', '7.714e-06', '0.04566', '0.006522', 'codegen.py:183(_finalize_final_module)'], [['1', 1], '1.1e-05', '1.1e-05', '1.1e-05', '1.1e-05', '&lt;string&gt;:1(Status)'], [['1', 1], '3e-05', '3e-05', '0.003055', '0.003055', 'atomicops.py:189(remove_redundant_nrt_refct)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npdatetime.py:255(DatetimeCmpGt)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:248(CompoundLiteral)'], [['6', 6], '1.3e-05', '2.167e-06', '1.3e-05', '2.167e-06', 'codegen.py:236(enable_object_caching)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'value.py:10(Linkage)'], [['1', 1], '0.000119', '0.000119', '0.000256', '0.000256', 'ctypeslib.py:51(&lt;module&gt;)'], [['1', 1], '9e-06', '9e-06', '9e-06', '9e-06', 'dispatcher.py:28(_DispatcherBase)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'records.py:215(record)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:59(X)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:178(BinOpMul)'], [['1', 1], '4e-06', '4e-06', '0.000719', '0.000719', 'version.py:63(StrictVersion)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:871(ArrayIterator)'], [['1', 1], '4e-06', '4e-06', '9e-06', '9e-06', 'randomdecl.py:87(Numpy_normal)'], [['1', 1], '0', '0', '0', '0', 'errors.py:37(DeprecationError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'typeof.py:73(_typeof_bool)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:574(Label)'], [['1', 1], '1.1e-05', '1.1e-05', '1.1e-05', '1.1e-05', 'values.py:499(Block)'], [['4', 4], '5e-06', '1.25e-06', '1e-05', '2.5e-06', 'six.py:78(_import_module)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'mathdecl.py:74(Math_floor_ceil)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', '&lt;string&gt;:1(LibFunc)'], [['109', 109], '0.001188', '1.09e-05', '0.004765', '4.372e-05', 'abc.py:86(__new__)'], [['1', 1], '0', '0', '0', '0', 'instructions.py:613(FilterClause)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'templates.py:189(AbstractTemplate)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'machar.py:17(MachAr)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'keyword.py:11(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'listdecl.py:157(AddList)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'log.py:25(Log)'], [['1', 1], '0.001091', '0.001091', '0.005701', '0.005701', 'targets.py:1(&lt;module&gt;)'], [['23', 23], '6.5e-05', '2.826e-06', '0.000455', '1.978e-05', 'core.py:6267(getdoc)'], [['1', 1], '1.7e-05', '1.7e-05', '1.7e-05', '1.7e-05', 'shape_base.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:412(ExprList)'], [['154', 154], '9.1e-05', '5.909e-07', '9.1e-05', '5.909e-07', '~:0(&lt;method &#39;replace&#39; of &#39;str&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:498(ExternalFunction)'], [['141', 141], '6.2e-05', '4.397e-07', '7.8e-05', '5.532e-07', 'inspect.py:142(isfunction)'], [['1', 1], '0', '0', '0', '0', 'cgutils.py:414(IfBranchObj)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'shallow_roe_with_efix_1D_constants.py:1(&lt;module&gt;)'], [['4804', 4804], '1.339', '0.0002787', '1.343', '0.0002796', 'tvd.py:158(limit)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'funcdesc.py:153(PythonFunctionDescriptor)'], [['1', 1], '0.000679', '0.000679', '0.002238', '0.002238', 'dufunc.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'generators.py:12(GeneratorDescriptor)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', 'models.py:393(StructModel)'], [['1', 1], '0.00014', '0.00014', '0.000327', '0.000327', 'lex.py:34(&lt;module&gt;)'], [['979', 979], '0.000364', '3.718e-07', '0.000364', '3.718e-07', '~:0(&lt;method &#39;find&#39; of &#39;bytearray&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:42(VarMap)'], [['990/396', 990], '0.002145', '5.417e-06', '0.002493', '6.295e-06', 'sre_parse.py:151(getwidth)'], [['1', 1], '0.000908', '0.000908', '0.002035', '0.002035', 'decorators.py:1(&lt;module&gt;)'], [['2', 2], '3e-06', '1.5e-06', '3e-06', '1.5e-06', 'imputils.py:138(_decorate_setattr)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', 'cmd.py:14(Command)'], [['1', 1], '5e-06', '5e-06', '9e-06', '9e-06', 'module.py:145(global_variables)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', '__config__.py:3(&lt;module&gt;)'], [['1', 1], '0.000324', '0.000324', '0.01035', '0.01035', 'tokenize.py:23(&lt;module&gt;)'], [['1', 1], '2.5e-05', '2.5e-05', '9.4e-05', '9.4e-05', '__init__.py:265(_reset_cache)'], [['1', 1], '0.000625', '0.000625', '0.005206', '0.005206', 'npydecl.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'dispatcher.py:175(CUDAGenerializedUFunc)'], [['3', 3], '5e-06', '1.667e-06', '1.2e-05', '4e-06', 'cgutils.py:402(if_unlikely)'], [['1', 1], '1e-06', '1e-06', '3e-06', '3e-06', 'solver.py:289(setup)'], [['1', 1], '0', '0', '0', '0', 'passmanagers.py:33(FunctionPassManager)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', '&lt;string&gt;:1(ModuleInfo)'], [['1', 1], '4e-06', '4e-06', '5e-06', '5e-06', 'log.py:71(set_verbosity)'], [['2', 2], '1e-06', '5e-07', '1e-06', '5e-07', 'sre_parse.py:224(isdigit)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:177(VarArg)'], [['1', 1], '0.000343', '0.000343', '0.000579', '0.000579', 'yacc.py:62(&lt;module&gt;)'], [['1', 1], '9.4e-05', '9.4e-05', '9.6e-05', '9.6e-05', 'cc.py:16(CC)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', '~:0(&lt;_cffi_backend.cast&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:272(EphemeralPointerModel)'], [['1', 1], '5.3e-05', '5.3e-05', '0.000995', '0.000995', 'difflib.py:27(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:1681(NullHandler)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:106(PairFirst)'], [['1', 1], '0.000157', '0.000157', '0.000166', '0.000166', 'wrappers.py:1(&lt;module&gt;)'], [['40', 40], '0.000118', '2.95e-06', '0.000149', '3.725e-06', '~:0(&lt;sorted&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'intrinsics.py:32(IntrinsicMapping)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:162(TimedeltaCmpGt)'], [['4', 4], '1.5e-05', '3.75e-06', '7e-05', '1.75e-05', 'instructions.py:467(__init__)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', 'compiler.py:325(CUDAKernel)'], [['1', 1], '0.000741', '0.000741', '0.001191', '0.001191', 'operatordecl.py:3(&lt;module&gt;)'], [['54', 54], '5.8e-05', '1.074e-06', '0.000229', '4.241e-06', 'ffi.py:73(__exit__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:1324(CPointer)'], [['11', 11], '4.6e-05', '4.182e-06', '0.002343', '0.000213', '__init__.py:1149(info)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '_iotools.py:154(LineSplitter)'], [['4804', 4804], '0.002179', '4.536e-07', '0.002179', '4.536e-07', 'cfl.py:25(set_global_max)'], [['5', 5], '9e-06', '1.8e-06', '9e-06', '1.8e-06', 'weakref.py:134(get)'], [['1', 1], '2e-06', '2e-06', '4e-06', '4e-06', 'solver.py:193(get_clawpack_dot_xxx)'], [['1', 1], '0', '0', '0', '0', 'typeinfer.py:476(TypeVarMap)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'types.py:20(Type)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:351(StaticRaise)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'dispatcher.py:196(CUDAUFuncMechanism)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'tempfile.py:108(_RandomNameSequence)'], [['2', 2], '1e-05', '5e-06', '1.3e-05', '6.5e-06', 'transforms.py:14(__init__)'], [['426', 426], '9.2e-05', '2.16e-07', '9.2e-05', '2.16e-07', '~:0(&lt;_sre.getlower&gt;)'], [['99', 99], '0.000916', '9.253e-06', '0.006269', '6.332e-05', 'copy.py:253(_deepcopy_dict)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'builtins.py:493(MemoryViewAttribute)'], [['1', 1], '8.3e-05', '8.3e-05', '9.1e-05', '9.1e-05', 'numbers.py:34(Complex)'], [['1', 1], '0', '0', '0', '0', 'SocketServer.py:585(ThreadingMixIn)'], [['2', 2], '1e-06', '5e-07', '1e-06', '5e-07', 'typeof.py:69(_typeof_bool)'], [['85', 85], '5.1e-05', '6e-07', '5.1e-05', '6e-07', '~:0(&lt;method &#39;rfind&#39; of &#39;str&#39; objects&gt;)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'manager.py:6(DataModelManager)'], [['46', 46], '7.3e-05', '1.587e-06', '8.9e-05', '1.935e-06', 'StringIO.py:54(__init__)'], [['1', 1], '6e-06', '6e-06', '1.8e-05', '1.8e-05', 'builtins.py:537(NumberAttribute)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:1269(ListIter)'], [['1919', 1919], '0.000571', '2.976e-07', '0.001148', '5.982e-07', 'sre_parse.py:206(match)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:559(SimpleIterableType)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;sys.getdlopenflags&gt;)'], [['13', 13], '4e-06', '3.077e-07', '4e-06', '3.077e-07', 'builder.py:68(_castop)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:723(Typedef)'], [['1', 1], '0', '0', '0', '0', '__init__.py:233(c_byte)'], [['10', 10], '9e-06', '9e-07', '9e-06', '9e-07', 'util.py:691(increment)'], [['6', 6], '8e-06', '1.333e-06', '1.3e-05', '2.167e-06', 'contextlib.py:82(helper)'], [['1', 1], '0.000221', '0.000221', '0.001121', '0.001121', 'spawn.py:7(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:716(CharSeq)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'function_base.py:1640(vectorize)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:459(ExternalFunctionPointer)'], [['6', 6], '3e-06', '5e-07', '3e-06', '5e-07', '~:0(&lt;numpy.core.umath.geterrobj&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:954(ArrayCTypes)'], [['13', 13], '1e-05', '7.692e-07', '1e-05', '7.692e-07', 'cpu.py:70(codegen)'], [['1', 1], '1.1e-05', '1.1e-05', '1.1e-05', '1.1e-05', 'tempfile.py:518(SpooledTemporaryFile)'], [['7', 7], '0.000143', '2.043e-05', '0.000148', '2.114e-05', '~:0(&lt;eval&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'model.py:284(StructOrUnionOrEnum)'], [['1', 1], '0.000846', '0.000846', '0.003549', '0.003549', 'collections.py:1(&lt;module&gt;)'], [['2', 2], '1e-06', '5e-07', '2e-06', '1e-06', 'tokenize.py:47(maybe)'], [['1', 1], '2e-06', '2e-06', '4e-06', '4e-06', 'randomdecl.py:53(Random_seed)'], [['23', 23], '3.2e-05', '1.391e-06', '0.000487', '2.117e-05', 'core.py:6262(__init__)'], [['1', 1], '0', '0', '0', '0', 'models.py:196(OpaqueModel)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'c_ast.py:739(Typename)'], [['1', 1], '0.003647', '0.003647', '0.01805', '0.01805', 'decorators.py:1(&lt;module&gt;)'], [['8', 8], '2.8e-05', '3.5e-06', '5.7e-05', '7.125e-06', 'decorators.py:154(_jit)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'utils.py:10(get_library_name)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', '_iotools.py:250(NameValidator)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'SocketServer.py:510(ForkingMixIn)'], [['4', 4], '9e-06', '2.25e-06', '2.7e-05', '6.75e-06', 'threading.py:114(RLock)'], [['87', 87], '9.6e-05', '1.103e-06', '0.000273', '3.138e-06', 'sre_parse.py:189(__init__)'], [['7', 7], '1.5e-05', '2.143e-06', '0.000994', '0.000142', 'codegen.py:441(create_library)'], [['1', 1], '5.4e-05', '5.4e-05', '0.007083', '0.007083', 'codegen.py:69(_optimize_functions)'], [['1', 1], '8e-05', '8e-05', '0.000643', '0.000643', 'operatorimpl.py:3(&lt;module&gt;)'], [['7', 7], '0.006686', '0.0009551', '0.006686', '0.0009551', 'passmanagers.py:55(run)'], [['1', 1], '4e-06', '4e-06', '1.5e-05', '1.5e-05', 'threading.py:561(__init__)'], [['3', 3], '2e-06', '6.667e-07', '2e-06', '6.667e-07', '__init__.py:494(CFunctionType)'], [['1', 1], '2.9e-05', '2.9e-05', '0.000105', '0.000105', 'chebyshev.py:87(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:169(__init__)'], [['1', 1], '0.001117', '0.001117', '0.003369', '0.003369', '__init__.py:41(&lt;module&gt;)'], [['1', 1], '5.8e-05', '5.8e-05', '0.005443', '0.005443', 'config.py:60(fileConfig)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:142(TimedeltaLegacyDiv)'], [['1', 1], '5e-06', '5e-06', '9e-06', '9e-06', 'randomdecl.py:47(Random_randrange)'], [['1', 1], '0.000104', '0.000104', '0.00011', '0.00011', 'records.py:36(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'typeinfer.py:90(ConstraintNetwork)'], [['1', 1], '0', '0', '0', '0', 'ConfigParser.py:139(NoSectionError)'], [['1', 1], '5.9e-05', '5.9e-05', '5.9e-05', '5.9e-05', 'SocketServer.py:160(BaseServer)'], [['22', 22], '3.6e-05', '1.636e-06', '0.000539', '2.45e-05', '__init__.py:715(format)'], [['141', 141], '7.4e-05', '5.248e-07', '8.5e-05', '6.028e-07', 'inspect.py:209(iscode)'], [['6', 6], '6e-06', '1e-06', '1.5e-05', '2.5e-06', 'types.py:158(&lt;genexpr&gt;)'], [['1', 1], '3.3e-05', '3.3e-05', '4e-05', '4e-05', 'unixccompiler.py:14(&lt;module&gt;)'], [['13', 13], '2.8e-05', '2.154e-06', '0.000116', '8.923e-06', 'core.py:62(int)'], [['1', 1], '0', '0', '0', '0', 'npydecl.py:842(Angle)'], [['1', 1], '4e-06', '4e-06', '8e-06', '8e-06', 'cmathdecl.py:51(Cmath_phase)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', '&lt;string&gt;:1(_ArrayIndexingHelper)'], [['285', 285], '0.000671', '2.354e-06', '0.000746', '2.618e-06', '~:0(&lt;__import__&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'ir.py:398(Branch)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'targets.py:173(TargetMachine)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'instructions.py:60(CallInstrAttributes)'], [['1', 1], '0', '0', '0', '0', 'values.py:31(_Undefined)'], [['1', 1], '1e-06', '1e-06', '3e-06', '3e-06', 'randomdecl.py:66(Numpy_negative_binomial)'], [['8', 8], '5e-06', '6.25e-07', '9e-06', '1.125e-06', 'bytecode.py:30(get_code_object)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', '&lt;string&gt;:1(nrt_mstats)'], [['1', 1], '0', '0', '0', '0', 'cmathdecl.py:57(Cmath_polar)'], [['1', 1], '0', '0', '0', '0', '_endian.py:49(BigEndianStructure)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'nvvm.py:135(CompilationUnit)'], [['496', 496], '0.000368', '7.419e-07', '0.000368', '7.419e-07', '_weakrefset.py:70(__contains__)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:30(TimedeltaBinOp)'], [['1', 1], '0', '0', '0', '0', 'models.py:263(PointerModel)'], [['1', 1], '1e-05', '1e-05', '1e-05', '1e-05', 'decorators.py:15(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '6e-06', '6e-06', 'randomdecl.py:144(Random_triangular)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'values.py:540(BlockAddress)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:375(Return)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'ir.py:494(Var)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'parallel.py:180(ParallelGUFuncBuilder)'], [['1', 1], '1.9e-05', '1.9e-05', '9.6e-05', '9.6e-05', 'config.py:50(update)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:107(Stmt)'], [['1', 1], '3e-06', '3e-06', '0.000147', '0.000147', 'numerictypes.py:957(_register_types)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'stubs.py:246(local)'], [['297', 297], '0.001947', '6.556e-06', '0.00334', '1.125e-05', 'sre_compile.py:256(_optimize_charset)'], [['1', 1], '0', '0', '0', '0', 'wrappers.py:582(_ArrayArgLoader)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npdatetime.py:178(DatetimePlusTimedelta)'], [['87', 87], '0.000435', '5e-06', '0.01674', '0.0001924', 'sre_parse.py:706(parse)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ast.py:217(NodeVisitor)'], [['1', 1], '0.000287', '0.000287', '0.000295', '0.000295', 'errors.py:9(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '4e-06', '4e-06', 'utils.py:130(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'cpu.py:22(ClosureBody)'], [['42', 42], '0.0002', '4.762e-06', '0.000263', '6.262e-06', 'npyimpl.py:425(register_unary_ufunc_kernel)'], [['8', 8], '3e-06', '3.75e-07', '3e-06', '3.75e-07', 'six.py:73(_add_doc)'], [['1', 1], '4e-06', '4e-06', '8e-06', '8e-06', '__init__.py:11(_init)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'driver.py:916(MappedOwnedPointer)'], [['1', 1], '0.001151', '0.001151', '0.01341', '0.01341', 'utils.py:4(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:187(_ParameterKind)'], [['1', 1], '0', '0', '0', '0', 'polyutils.py:66(PolyDomainError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:219(Cast)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'vc_elasticity_2D_constants.py:1(&lt;module&gt;)'], [['3', 3], '8e-06', '2.667e-06', '8e-06', '2.667e-06', 'index_tricks.py:242(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:180(c_ulong)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', '&lt;string&gt;:1(Indexing)'], [['40', 40], '2e-05', '5e-07', '2e-05', '5e-07', 'ConfigParser.py:657(_interpolate)'], [['1', 1], '0', '0', '0', '0', 'npy_pkg_config.py:28(PkgNotFound)'], [['1', 1], '1.4e-05', '1.4e-05', '1.8e-05', '1.8e-05', 'optional.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '0.000183', '0.000183', 'ConfigParser.py:588(ConfigParser)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', '~:0(&lt;method &#39;search&#39; of &#39;_sre.SRE_Pattern&#39; objects&gt;)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:710(Complex)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'deviceufunc.py:82(UFuncMechanism)'], [['4804', 4804], '1.136', '0.0002365', '1.143', '0.000238', 'euler_1D_py.py:262(roe_averages)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:26(DistutilsGetoptError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'listdecl.py:207(ListEq)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'utils.py:127(ConfigOptions)'], [['2', 2], '2e-06', '1e-06', '2e-06', '1e-06', '~:0(&lt;strop.maketrans&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'core.py:918(_MaskedBinaryOperation)'], [['1', 1], '0', '0', '0', '0', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'types.py:1195(BaseNamedTuple)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'deviceufunc.py:631(GenerializedUFunc)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'euler_3D_constants.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'devices.py:27(FakeDeviceList)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', '&lt;string&gt;:1(_ReflectContext)'], [['1', 1], '1.4e-05', '1.4e-05', '1.4e-05', '1.4e-05', 'dataflow.py:11(DataFlowAnalysis)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:532(InlineAsm)'], [['34', 34], '9.9e-05', '2.912e-06', '0.000154', '4.529e-06', 'npyimpl.py:443(register_binary_ufunc_kernel)'], [['88', 88], '0.000576', '6.545e-06', '0.000582', '6.614e-06', '~:0(&lt;method &#39;__reduce_ex__&#39; of &#39;object&#39; objects&gt;)'], [['11', 11], '0.000269', '2.445e-05', '0.000441', '4.009e-05', '__init__.py:237(__init__)'], [['1', 1], '3.9e-05', '3.9e-05', '9.9e-05', '9.9e-05', 'looplifting.py:1(&lt;module&gt;)'], [['1', 1], '5e-06', '5e-06', '7e-06', '7e-06', 'module.py:41(ModuleRef)'], [['3', 3], '1.5e-05', '5e-06', '7.9e-05', '2.633e-05', '__init__.py:657(__init__)'], [['125', 125], '4.7e-05', '3.76e-07', '4.7e-05', '3.76e-07', 'six.py:86(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:80(AuthenticationError)'], [['1', 1], '4e-06', '4e-06', '9e-06', '9e-06', 'builtins.py:204(BinOpFloorDiv)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'fancy_getopt.py:33(FancyGetopt)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;_cffi_backend.new_primitive_type&gt;)'], [['1', 1], '0.000965', '0.000965', '0.001217', '0.001217', 'singledispatch.py:4(&lt;module&gt;)'], [['1', 1], '0.001026', '0.001026', '0.001066', '0.001066', 'linalg.py:10(&lt;module&gt;)'], [['12', 12], '0.00016', '1.333e-05', '0.000168', '1.4e-05', 'arraydecl.py:447(install_array_method)'], [['1', 1], '2.7e-05', '2.7e-05', '2.7e-05', '2.7e-05', 'dep_util.py:5(&lt;module&gt;)'], [['1', 1], '0.00026', '0.00026', '0.007582', '0.007582', 'build_ext.py:5(&lt;module&gt;)'], [['2', 2], '0', '0', '0', '0', '~:0(&lt;sys.setdlopenflags&gt;)'], [['1', 1], '1.1e-05', '1.1e-05', '1.3e-05', '1.3e-05', 'timeit.py:53(&lt;module&gt;)'], [['1', 1], '9e-06', '9e-06', '9e-06', '9e-06', 'numbers.py:169(Real)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:676(Switch)'], [['1', 1], '5e-06', '5e-06', '1.7e-05', '1.7e-05', 'builtins.py:64(Range)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:560(InitList)'], [['1', 1], '3.6e-05', '3.6e-05', '8e-05', '8e-05', 'tupleobj.py:3(&lt;module&gt;)'], [['2', 2], '0', '0', '0', '0', 'module.py:187(__iter__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'index_tricks.py:352(RClass)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', '__init__.py:1393(LoggerAdapter)'], [['1', 1], '8e-06', '8e-06', '1e-05', '1e-05', 'state.py:11(State)'], [['1', 1], '2.3e-05', '2.3e-05', '0.07302', '0.07302', 'nrt.py:18(initialize)'], [['4', 4], '8e-06', '2e-06', '1e-05', '2.5e-06', 'UserDict.py:4(__init__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'models.py:908(GeneratorModel)'], [['12', 12], '1e-06', '8.333e-08', '1e-06', '8.333e-08', 'posixpath.py:44(normcase)'], [['1', 1], '1e-06', '1e-06', '2e-06', '2e-06', '&lt;string&gt;:8(__new__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:793(OptionalAttribute)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'argpacker.py:97(_Unflattener)'], [['1', 1], '0.000117', '0.000117', '0.00038', '0.00038', 'npy_pkg_config.py:1(&lt;module&gt;)'], [['40', 40], '1.3e-05', '3.25e-07', '2e-05', '5e-07', 'numerictypes.py:154(english_upper)'], [['272', 272], '0.000534', '1.963e-06', '0.001486', '5.463e-06', 'function_base.py:3511(add_newdoc)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'linalg.py:43(LinAlgError)'], [['1', 1], '0', '0', '0', '0', '_internal.py:215(_missing_ctypes)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:260(_Repeat)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:495(BufferingFormatter)'], [['9', 9], '1e-05', '1.111e-06', '1e-05', '1.111e-06', 'imputils.py:18(__init__)'], [['96', 96], '0.000343', '3.573e-06', '0.000506', '5.271e-06', 'collections.py:113(items)'], [['3', 3], '1.1e-05', '3.667e-06', '5.6e-05', '1.867e-05', 'instructions.py:286(__init__)'], [['1', 1], '1e-05', '1e-05', '0.000131', '0.000131', 'codegen.py:461(_function_pass_manager)'], [['1', 1], '0.001085', '0.001085', '0.006162', '0.006162', 'libs.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:474(FuncDecl)'], [['11', 11], '0.000164', '1.491e-05', '0.009895', '0.0008995', 'state.py:295(__deepcopy__)'], [['1', 1], '2.6e-05', '2.6e-05', '0.000138', '0.000138', 'bytecode.py:35(_make_bytecode_table)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'extension.py:26(Extension)'], [['1', 1], '0', '0', '0', '0', 'devicearray.py:350(MappedNDArray)'], [['1', 1], '0.001415', '0.001415', '0.005619', '0.005619', 'log.py:2(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'models.py:616(PairModel)'], [['23', 23], '6.4e-05', '2.783e-06', '0.000132', '5.739e-06', 'geometry.py:792(__init__)'], [['1', 1], '6.4e-05', '6.4e-05', '6.7e-05', '6.7e-05', 'plyparser.py:12(&lt;module&gt;)'], [['6', 6], '5.9e-05', '9.833e-06', '0.000324', '5.4e-05', 'cpu.py:134(get_executable)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:851(Complex64)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'acoustics_variable_1D_constants.py:1(&lt;module&gt;)'], [['2', 2], '1e-06', '5e-07', '1e-06', '5e-07', 'instructions.py:264(lhs)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:95(IterNext)'], [['1', 1], '0', '0', '0', '0', 'errors.py:66(DistutilsByteCompileError)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:120(PairSecond)'], [['1', 1], '0', '0', '0', '0', 'kernel.py:127(BlockManager)'], [['1', 1], '5e-06', '5e-06', '6e-06', '6e-06', 'values.py:340(FunctionAttributes)'], [['1', 1], '0.000785', '0.000785', '0.000824', '0.000824', 'c_ast.py:19(&lt;module&gt;)'], [['1', 1], '3.2e-05', '3.2e-05', '3.2e-05', '3.2e-05', 'ctypes_support.py:3(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:146(ArrayRef)'], [['1', 1], '4.6e-05', '4.6e-05', '4.7e-05', '4.7e-05', '__init__.py:7(&lt;module&gt;)'], [['4804', 4804], '0.7361', '0.0001532', '0.7387', '0.0001538', 'solver.py:435(compute_correction_fluxes)'], [['1', 1], '0', '0', '0', '0', 'singledispatch_helpers.py:163(Support)'], [['9', 9], '7e-06', '7.778e-07', '4e-05', '4.444e-06', 're.py:148(sub)'], [['1', 1], '9e-06', '9e-06', '1.4e-05', '1.4e-05', '_osx_support.py:128(_supports_universal_builds)'], [['1', 1], '0', '0', '0', '0', '__init__.py:172(c_ushort)'], [['6', 6], '5e-06', '8.333e-07', '5e-06', '8.333e-07', 'util.py:26(add_parent_doc)'], [['4804', 4804], '0.307', '6.39e-05', '0.3098', '6.448e-05', 'solver.py:377(godunov_update)'], [['11', 11], '2.7e-05', '2.455e-06', '3.1e-05', '2.818e-06', 'genericpath.py:93(_splitext)'], [['1', 1], '0', '0', '0', '0', 'types.py:1599(ClassDataType)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'model.py:83(PrimitiveType)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:74(Inst)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '&lt;string&gt;:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '0.00698', '0.00698', 'codegen.py:83(_optimize_final_module)'], [['246/87', 246], '0.001011', '1.162e-05', '0.01588', '0.0001825', 'sre_parse.py:317(_parse_sub)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'shutil.py:38(ExecError)'], [['280', 280], '0.001233', '4.404e-06', '0.001493', '5.332e-06', 'types.py:219(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:321(Default)'], [['4', 4], '5e-06', '1.25e-06', '5e-06', '1.25e-06', 'weakref.py:335(__setitem__)'], [['1', 1], '0.000105', '0.000105', '0.001318', '0.001318', 'tvd.py:64(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'typeinfer.py:340(GetAttrConstraint)'], [['3', 3], '2e-06', '6.667e-07', '3e-06', '1e-06', 'string.py:513(replace)'], [['31', 31], '0.00012', '3.871e-06', '0.000267', '8.613e-06', '_inspect.py:142(formatargspec)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'dispatcher.py:453(_CacheLocator)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'core.py:61(Type)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'traffic_vc_1D_constants.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '3e-06', '3e-06', 'stubs.py:214(shared)'], [['8', 8], '1.5e-05', '1.875e-06', '8.1e-05', '1.012e-05', 'dispatcher.py:610(enable)'], [['334/236', 334], '0.000424', '1.797e-06', '0.003343', '1.417e-05', '~:0(&lt;method &#39;join&#39; of &#39;str&#39; objects&gt;)'], [['7', 7], '3e-06', '4.286e-07', '5e-06', '7.143e-07', 'config.py:189(&lt;lambda&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'plyparser.py:12(Coord)'], [['1', 1], '0', '0', '0', '0', 'instructions.py:610(CatchClause)'], [['1', 1], '3e-06', '3e-06', '1e-05', '1e-05', 'typeconv.py:56(__init__)'], [['6', 6], '9e-06', '1.5e-06', '0.01031', '0.001718', 'codegen.py:448(unserialize_library)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npy_pkg_config.py:17(FormatError)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'dummyarray.py:122(Array)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:289(UnaryPositive)'], [['6', 6], '0.000101', '1.683e-05', '0.0198', '0.003301', 'dispatcher.py:325(compile)'], [['1', 1], '4e-06', '4e-06', '2.3e-05', '2.3e-05', 'builder.py:458(store)'], [['1', 1], '0.000253', '0.000253', '0.001485', '0.001485', 'misc_util.py:313(terminal_has_colors)'], [['3', 3], '1.1e-05', '3.667e-06', '7.2e-05', '2.4e-05', 'builder.py:335(_icmp)'], [['1', 1], '0', '0', '0', '0', 'ir.py:447(Const)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', '&lt;string&gt;:1(_TypeofContext)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '5e-06', '5e-06', '1e-05', '1e-05', 'ascii.py:41(getregentry)'], [['87', 87], '7e-05', '8.046e-07', '0.000112', '1.287e-06', 'bytecode.py:155(&lt;genexpr&gt;)'], [['6', 6], '0', '0', '0', '0', 'builder.py:32(_binop_with_overflow)'], [['2', 2], '6e-06', '3e-06', '0.01031', '0.005156', 'transforms.py:81(populate)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:38(DistutilsOptionError)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'parallel.py:366(__init__)'], [['54', 54], '0.000101', '1.87e-06', '0.000122', '2.259e-06', 'ffi.py:58(__init__)'], [['1', 1], '2e-05', '2e-05', '2.6e-05', '2.6e-05', '_polybase.py:19(ABCPolyBase)'], [['44', 44], '9.3e-05', '2.114e-06', '9.3e-05', '2.114e-06', 'ConfigParser.py:570(__getitem__)'], [['22', 22], '0.0002', '9.091e-06', '0.0002', '9.091e-06', '~:0(&lt;method &#39;flush&#39; of &#39;file&#39; objects&gt;)'], [['1', 1], '7e-06', '7e-06', '8e-06', '8e-06', 'instructions.py:63(CallInstr)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'model.py:185(RawFunctionType)'], [['1', 1], '2.9e-05', '2.9e-05', '3e-05', '3e-05', 'irpasses.py:3(&lt;module&gt;)'], [['40', 40], '2.1e-05', '5.25e-07', '3.1e-05', '7.75e-07', '_inspect.py:15(ismethod)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', '&lt;string&gt;:1(Loop)'], [['1', 1], '0.000375', '0.000375', '0.001465', '0.001465', '__init__.py:45(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'stubs.py:70(gridDim)'], [['1', 1], '1.6e-05', '1.6e-05', '0.000421', '0.000421', 'atomicops.py:43(_define_nrt_incref)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', 'unixccompiler.py:48(UnixCCompiler)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'acoustics_1D_py.py:27(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'wrappers.py:566(_ScalarArgLoader)'], [['1', 1], '9e-06', '9e-06', '1.1e-05', '1.1e-05', '_version.py:7(&lt;module&gt;)'], [['429', 429], '5.8e-05', '1.352e-07', '5.8e-05', '1.352e-07', '__init__.py:780(value)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'core.py:186(Function)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:466(ExtractValue)'], [['133', 133], '0.000392', '2.947e-06', '0.001633', '1.228e-05', 'inspect.py:804(getargspec)'], [['1', 1], '0', '0', '0', '0', 'collections.py:55(SetItemSequence)'], [['3', 3], '3e-06', '1e-06', '0.000157', '5.233e-05', 'core.py:157(get_or_insert_function)'], [['28854', 28854], '0.01904', '6.597e-07', '0.0427', '1.48e-06', 'solution.py:68(__getattr__)'], [['1', 1], '0.000142', '0.000142', '0.000196', '0.000196', 'arraymath.py:3(&lt;module&gt;)'], [['3', 3], '3e-06', '1e-06', '3.7e-05', '1.233e-05', 'ffi.py:151(__exit__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'callconv.py:450(NumpyErrorModel)'], [['1', 1], '3e-06', '3e-06', '0.000606', '0.000606', 'utils.py:267(inner)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:470(TupleBool)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'transforms.py:45(ReplaceCalls)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arrayobj.py:509(Indexer)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:324(CmpOpGt)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'vc_advection_2D_constants.py:1(&lt;module&gt;)'], [['1', 1], '1.8e-05', '1.8e-05', '3e-05', '3e-05', 'linker.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'core.py:775(_DomainTan)'], [['1', 1], '0.00026', '0.00026', '0.000535', '0.000535', 'loader.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'models.py:307(ExternalFuncPointerModel)'], [['89', 89], '4.1e-05', '4.607e-07', '5.7e-05', '6.404e-07', 'geometry.py:141(num_dim)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'types.py:729(UnicodeCharSeq)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:448(SetItemCPointer)'], [['1', 1], '0', '0', '0', '0', 'yacc.py:1812(VersionError)'], [['1', 1], '4.6e-05', '4.6e-05', '5e-05', '5e-05', 'argpacker.py:1(&lt;module&gt;)'], [['46', 46], '0.000203', '4.413e-06', '0.000385', '8.37e-06', 'state.py:315(new_array)'], [['1', 1], '0.000465', '0.000465', '0.000468', '0.000468', 'shutil.py:5(&lt;module&gt;)'], [['1', 1], '4e-06', '4e-06', '2.1e-05', '2.1e-05', 'builder.py:449(load)'], [['1', 1], '3.7e-05', '3.7e-05', '0.000582', '0.000582', 'numbers.py:6(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'types.py:162(Const)'], [['2', 2], '2.9e-05', '1.45e-05', '9.8e-05', '4.9e-05', 'targets.py:117(from_triple)'], [['44', 44], '2.2e-05', '5e-07', '3.5e-05', '7.955e-07', '_inspect.py:146(&lt;lambda&gt;)'], [['1', 1], '0.006977', '0.006977', '0.006977', '0.006977', 'passmanagers.py:29(run)'], [['4815', 4815], '0.004628', '9.612e-07', '0.008865', '1.841e-06', '__init__.py:1352(isEnabledFor)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:122(TimedeltaBinAdd)'], [['1', 1], '7.3e-05', '7.3e-05', '0.000661', '0.000661', 'utils.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'c_ast.py:307(DeclList)'], [['6', 6], '6e-06', '1e-06', '1.4e-05', '2.333e-06', 'base.py:89(append)'], [['1', 1], '3e-06', '3e-06', '5e-06', '5e-06', 'singledispatch_helpers.py:153(__init__)'], [['8', 8], '1.4e-05', '1.75e-06', '1.4e-05', '1.75e-06', 'module.py:205(_next)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'c_ast.py:507(Goto)'], [['40', 40], '5.1e-05', '1.275e-06', '0.000222', '5.55e-06', '_inspect.py:98(getargspec)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'controlflow.py:452(ControlFlowAnalysis)'], [['20', 20], '4e-05', '2e-06', '0.000153', '7.65e-06', 'builtins.py:137(choose_result_int)'], [['1', 1], '5e-06', '5e-06', '6e-06', '6e-06', 'stubs.py:31(threadIdx)'], [['1', 1], '0.001823', '0.001823', '0.002689', '0.002689', 'devicearray.py:5(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'bytecode.py:338(CustomByteCode)'], [['1', 1], '2.2e-05', '2.2e-05', '0.02423', '0.02423', 'codegen.py:391(__init__)'], [['4804', 4804], '0.003287', '6.842e-07', '0.0349', '7.264e-06', '_methods.py:37(_any)'], [['6', 6], '1.1e-05', '1.833e-06', '1.1e-05', '1.833e-06', 'funcdesc.py:80(lookup_module)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'devices.py:90(_Runtime)'], [['6', 6], '5e-06', '8.333e-07', '5e-06', '8.333e-07', 'contextlib.py:12(__init__)'], [['1', 1], '0', '0', '0', '0', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'array.py:6(FakeShape)'], [['24046', 24046], '0.007172', '2.983e-07', '0.007172', '2.983e-07', 'state.py:88(num_aux)'], [['5', 5], '4e-06', '8e-07', '4e-06', '8e-07', '~:0(&lt;delattr&gt;)'], [['1', 1], '1e-05', '1e-05', '1e-05', '1e-05', 'arraysetops.py:26(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'errors.py:33(DistutilsFileError)'], [['5', 5], '4e-06', '8e-07', '1.1e-05', '2.2e-06', 'callconv.py:35(_const_int)'], [['125', 125], '4.3e-05', '3.44e-07', '4.3e-05', '3.44e-07', 'six.py:86(__init__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'models.py:132(BooleanModel)'], [['1', 1], '0', '0', '0', '0', 'six.py:84(_LazyDescr)'], [['3', 3], '2.4e-05', '8e-06', '0.000328', '0.0001093', 'instructions.py:156(set_weights)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'deviceufunc.py:358(DeviceVectorize)'], [['6', 6], '7e-06', '1.167e-06', '7e-06', '1.167e-06', 'templates.py:38(__setstate__)'], [['89', 89], '0.00011', '1.236e-06', '0.000154', '1.73e-06', 'geometry.py:714(add_dimension)'], [['15', 15], '0.000378', '2.52e-05', '0.000888', '5.92e-05', 'ffi.py:8(_make_opaque_ref)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'process.py:285(AuthenticationString)'], [['1', 1], '6.1e-05', '6.1e-05', '6.1e-05', '6.1e-05', 'typeinfer.py:493(TypeInferer)'], [['21', 21], '1.7e-05', '8.095e-07', '0.000134', '6.381e-06', 'values.py:417(&lt;genexpr&gt;)'], [['1', 1], '5.1e-05', '5.1e-05', '5.2e-05', '5.2e-05', 'listobj.py:56(_ListPayloadMixin)'], [['1', 1], '0', '0', '0', '0', 'types.py:119(NPDatetime)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'utils.py:1002(SafeEval)'], [['4804', 4804], '0.4356', '9.068e-05', '0.4388', '9.133e-05', 'euler_1D_py.py:110(godunov_update)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'builtins.py:173(BinOpSub)'], [['4', 4], '1.1e-05', '2.75e-06', '1.1e-05', '2.75e-06', '__init__.py:961(__init__)'], [['4', 4], '3e-06', '7.5e-07', '3e-06', '7.5e-07', 'types.py:12(&lt;lambda&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'array_exprs.py:15(RewriteArrayExprs)'], [['13', 13], '1e-05', '7.692e-07', '1e-05', '7.692e-07', '__init__.py:579(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'shutil.py:34(SpecialFileError)'], [['13', 13], '1.7e-05', '1.308e-06', '2.2e-05', '1.692e-06', 'dispatcher.py:432(&lt;genexpr&gt;)'], [['4', 4], '1.7e-05', '4.25e-06', '9.5e-05', '2.375e-05', 'builder.py:538(extract_value)'], [['3', 3], '0.000203', '6.767e-05', '0.000256', '8.533e-05', 'numeric.py:358(extend_all)'], [['1', 1], '3e-06', '3e-06', '7e-06', '7e-06', 'core.py:6016(MaskedConstant)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'values.py:487(ReturnValue)'], [['1', 1], '3e-05', '3e-05', '0.000126', '0.000126', 'controller.py:85(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:581(RangeType)'], [['108', 108], '0.000179', '1.657e-06', '0.000188', '1.741e-06', 'ffi.py:63(close)'], [['2', 2], '1.2e-05', '6e-06', '4.6e-05', '2.3e-05', 'instructions.py:579(__init__)'], [['44', 44], '9e-05', '2.045e-06', '0.000109', '2.477e-06', 'six.py:103(__init__)'], [['20', 20], '6.2e-05', '3.1e-06', '0.001811', '9.055e-05', 'atomicops.py:202(_extract_functions)'], [['1', 1], '0.002354', '0.002354', '0.004524', '0.004524', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'npyimpl.py:343(_Kernel)'], [['31', 31], '9.3e-05', '3e-06', '0.00012', '3.871e-06', '_inspect.py:67(getargs)'], [['6', 6], '6e-06', '1e-06', '6e-06', '1e-06', 'codegen.py:248(_set_compiled_object)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'utils.py:1547(WarningMessage)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'abstracttypes.py:34(_TypeMetaclass)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'values.py:41(ConstOp)'], [['1', 1], '4.6e-05', '4.6e-05', '5.2e-05', '5.2e-05', 'registry.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'errors.py:52(ForbiddenConstruct)'], [['5', 5], '1e-05', '2e-06', '1.7e-05', '3.4e-06', 'core.py:82(struct)'], [['4', 4], '2e-06', '5e-07', '2e-06', '5e-07', 'npdatetime.py:285(_create_timedelta_ordering_impl)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'driver.py:49(CudaAPIError)'], [['4', 4], '1.5e-05', '3.75e-06', '1.6e-05', '4e-06', 'registry.py:48(do_register)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:1148(_HeterogenousTuple)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'misc_util.py:55(InstallableLib)'], [['1', 1], '3e-06', '3e-06', '6e-06', '6e-06', 'chebyshev.py:2017(Chebyshev)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:880(EnumerateType)'], [['8', 8], '1e-05', '1.25e-06', '1.9e-05', '2.375e-06', 'values.py:492(__str__)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'geometry.py:755(Domain)'], [['7', 7], '0.000106', '1.514e-05', '0.00016', '2.286e-05', 'module.py:124(triple)'], [['3', 3], '2e-06', '6.667e-07', '2e-06', '6.667e-07', 'imputils.py:206(iterator_impl)'], [['1', 1], '1e-05', '1e-05', '1.6e-05', '1.6e-05', 'executionengine.py:139(set_object_cache)'], [['1', 1], '0', '0', '0', '0', 'ConfigParser.py:174(InterpolationMissingOptionError)'], [['4804', 4804], '0.003421', '7.121e-07', '0.004017', '8.362e-07', 'solver.py:523(accept_reject_step)'], [['1', 1], '2.8e-05', '2.8e-05', '0.1203', '0.1203', 'driver.py:59(find_driver)'], [['1', 1], '3.1e-05', '3.1e-05', '3.2e-05', '3.2e-05', 'macro.py:5(&lt;module&gt;)'], [['3', 3], '2e-06', '6.667e-07', '7.4e-05', '2.467e-05', 'builder.py:349(icmp_unsigned)'], [['1', 1], '0', '0', '0', '0', '__init__.py:1379(RootLogger)'], [['20', 20], '4.3e-05', '2.15e-06', '5.8e-05', '2.9e-06', 'builtins.py:134(choose_result_bitwidth)'], [['1', 1], '2e-06', '2e-06', '7e-06', '7e-06', 'numeric.py:2874(__exit__)'], [['1', 1], '4e-06', '4e-06', '7e-06', '7e-06', 'randomdecl.py:72(Numpy_poisson)'], [['1', 1], '5e-06', '5e-06', '1.1e-05', '1.1e-05', 'typeconv.py:15(__init__)'], [['1', 1], '0', '0', '0', '0', 'ast.py:254(NodeTransformer)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'cmd.py:433(install_misc)'], [['1', 1], '0.002035', '0.002035', '0.102', '0.102', 'testing.py:1(&lt;module&gt;)'], [['1', 1], '3.5e-05', '3.5e-05', '0.000101', '0.000101', 'token.py:1(&lt;module&gt;)'], [['1', 1], '0.000143', '0.000143', '0.000805', '0.000805', 'callconv.py:3(&lt;module&gt;)'], [['1', 1], '7e-06', '7e-06', '2.2e-05', '2.2e-05', 'builtins.py:48(Slice)'], [['1', 1], '7e-06', '7e-06', '9e-06', '9e-06', 'solver.py:455(ClawSolver2D)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'types.py:214(Function)'], [['1', 1], '0', '0', '0', '0', 'state.py:218(set_num_ghost)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'type_annotations.py:22(SourceLines)'], [['13', 13], '1e-05', '7.692e-07', '7.5e-05', '5.769e-06', 'builder.py:69(wrap)'], [['1', 1], '9.6e-05', '9.6e-05', '0.000828', '0.000828', 'config.py:183(_install_loggers)'], [['1', 1], '0', '0', '0', '0', 'wrappers.py:385(_GufuncObjectWrapper)'], [['1', 1], '9e-06', '9e-06', '5.3e-05', '5.3e-05', 'arraydecl.py:208(ArrayAttribute)'], [['1', 1], '0.000129', '0.000129', '0.000144', '0.000144', 'dispatcher.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '~:0(&lt;method &#39;findall&#39; of &#39;_sre.SRE_Pattern&#39; objects&gt;)'], [['683/87', 683], '0.004587', '5.272e-05', '0.0113', '0.0001299', 'sre_compile.py:64(_compile)'], [['18', 18], '5e-06', '2.778e-07', '5e-06', '2.778e-07', 'imputils.py:91(lower_cast)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'stubs.py:45(blockIdx)'], [['1', 1], '5e-06', '5e-06', '8.6e-05', '8.6e-05', 'model.py:272(build_backend_type)'], [['1', 1], '9e-06', '9e-06', '1e-05', '1e-05', 'abstracttypes.py:303(ArrayCompatible)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:348(Module_six_moves_urllib_error)'], [['1', 1], '7e-06', '7e-06', '1.5e-05', '1.5e-05', 'geometry.py:611(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'model.py:79(BasePrimitiveType)'], [['1', 1], '0.000231', '0.000231', '0.000246', '0.000246', 'errors.py:2(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:130(TimedeltaBinMult)'], [['42', 42], '0.000114', '2.714e-06', '0.000114', '2.714e-06', '~:0(&lt;method &#39;setter&#39; of &#39;property&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:84(CompilerError)'], [['1', 1], '0.012', '0.012', '0.02948', '0.02948', 'base.py:1(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:415(CmpOpIs)'], [['1', 1], '0', '0', '0', '0', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '9e-06', '9e-06', '9e-06', '9e-06', 'arraypad.py:5(&lt;module&gt;)'], [['1', 1], '3e-05', '3e-05', '3.5e-05', '3.5e-05', 'cProfile.py:5(&lt;module&gt;)'], [['3', 3], '4e-06', '1.333e-06', '4e-06', '1.333e-06', 'rangeobj.py:78(RangeIter)'], [['2', 2], '4e-06', '2e-06', '1.5e-05', '7.5e-06', 'numeric.py:2478(seterr)'], [['544', 544], '7.2e-05', '1.324e-07', '7.2e-05', '1.324e-07', '~:0(&lt;chr&gt;)'], [['8', 8], '1.9e-05', '2.375e-06', '1.9e-05', '2.375e-06', '__init__.py:101(__init__)'], [['1', 1], '6e-06', '6e-06', '7e-06', '7e-06', 'executionengine.py:108(target_data)'], [['3', 3], '2.7e-05', '9e-06', '3.7e-05', '1.233e-05', 'weakref.py:47(__init__)'], [['21', 21], '4.9e-05', '2.333e-06', '4.9e-05', '2.333e-06', 'numerictypes.py:216(_evalname)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'collections.py:13(InSequence)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:574(Filterer)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'codegen.py:389(BaseCPUCodegen)'], [['1', 1], '2.2e-05', '2.2e-05', '2.2e-05', '2.2e-05', 'nanfunctions.py:19(&lt;module&gt;)'], [['1', 1], '6.4e-05', '6.4e-05', '7.2e-05', '7.2e-05', 'autotune.py:4(&lt;module&gt;)'], [['51', 51], '3.6e-05', '7.059e-07', '3.6e-05', '7.059e-07', 'six.py:173(_add_module)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'collections.py:82(NamedTupleAttribute)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:200(DatetimeMinusTimedelta)'], [['1', 1], '8e-06', '8e-06', '1.7e-05', '1.7e-05', 'decorators.py:27(Vectorize)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'difflib.py:1670(HtmlDiff)'], [['4', 4], '4e-06', '1e-06', '5e-06', '1.25e-06', 'types.py:1167(&lt;genexpr&gt;)'], [['53', 53], '2.9e-05', '5.472e-07', '4e-05', '7.547e-07', '__init__.py:506(&lt;genexpr&gt;)'], [['1', 1], '0.000126', '0.000126', '0.000199', '0.000199', 'process.py:35(&lt;module&gt;)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', '_datasource.py:504(Repository)'], [['1', 1], '0', '0', '0', '0', 'stubs.py:172(threadfence_block)'], [['11', 11], '2.4e-05', '2.182e-06', '0.001658', '0.0001507', '__init__.py:1280(handle)'], [['2', 2], '7e-06', '3.5e-06', '1.3e-05', '6.5e-06', 'six.py:157(_resolve)'], [['17', 17], '3e-06', '1.765e-07', '3e-06', '1.765e-07', 'templates.py:490(bound_function)'], [['1', 1], '0.007823', '0.007823', '0.6196', '0.6196', '__init__.py:3(&lt;module&gt;)'], [['1', 1], '9e-06', '9e-06', '9e-06', '9e-06', 'funcdesc.py:38(FunctionDescriptor)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:146(TimedeltaCmpEq)'], [['1', 1], '5.7e-05', '5.7e-05', '0.00045', '0.00045', 'castgraph.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'advection_1D_constants.py:1(&lt;module&gt;)'], [['1', 1], '2.1e-05', '2.1e-05', '9.9e-05', '9.9e-05', 'hermite_e.py:59(&lt;module&gt;)'], [['5', 5], '3.4e-05', '6.8e-06', '0.00075', '0.00015', 'warnings.py:67(filterwarnings)'], [['15', 15], '2.7e-05', '1.8e-06', '0.000569', '3.793e-05', 'codegen.py:375(get_pointer_to_function)'], [['1', 1], '4.4e-05', '4.4e-05', '5.2e-05', '5.2e-05', 'objmode.py:3(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'warnings.py:377(__exit__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:519(ID)'], [['21', 21], '2.1e-05', '1e-06', '2.4e-05', '1.143e-06', 'model.py:50(_get_items)'], [['329/94', 329], '0.006245', '6.644e-05', '0.01553', '0.0001652', 'sre_parse.py:395(_parse)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'SocketServer.py:627(ThreadingUnixStreamServer)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'burgers_2D_constants.py:1(&lt;module&gt;)'], [['10', 10], '4.9e-05', '4.9e-06', '0.000103', '1.03e-05', 'module.py:6(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npydecl.py:342(Numpy_method_redirection)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', 'transforms.py:4(Visitor)'], [['11', 11], '1e-05', '9.091e-07', '1e-05', '9.091e-07', 'process.py:161(name)'], [['9', 9], '3e-06', '3.333e-07', '3e-06', '3.333e-07', 'instructions.py:79(callee)'], [['22', 22], '0.000124', '5.636e-06', '0.000124', '5.636e-06', '~:0(&lt;time.strftime&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'typeinfer.py:372(SetItemConstraint)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'six.py:101(MovedModule)'], [['1', 1], '0', '0', '0', '0', 'ir.py:421(Yield)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'dispatcher.py:469(_SourceCacheLocator)'], [['1', 1], '2e-06', '2e-06', '4e-06', '4e-06', 'randomdecl.py:133(Random_nullary_distribution)'], [['1', 1], '7.6e-05', '7.6e-05', '0.000305', '0.000305', 'npdatetime.py:3(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:234(Compound)'], [['1', 1], '0.000985', '0.000985', '0.001396', '0.001396', 'c_lexer.py:9(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'typeinfer.py:267(CallConstraint)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'six.py:162(_SixMetaPathImporter)'], [['1', 1], '0.003322', '0.003322', '0.0197', '0.0197', 'dispatcher.py:3(&lt;module&gt;)'], [['1', 1], '4e-06', '4e-06', '8e-06', '8e-06', 'six.py:453(Module_six_moves_urllib)'], [['3', 3], '6e-06', '2e-06', '3.3e-05', '1.1e-05', 'values.py:194(__init__)'], [['20', 20], '3.8e-05', '1.9e-06', '4.1e-05', '2.05e-06', 'types.py:35(from_bitwidth)'], [['39', 39], '3e-05', '7.692e-07', '3e-05', '7.692e-07', '~:0(&lt;locals&gt;)'], [['1', 1], '0', '0', '0', '0', 'types.py:156(RawPointer)'], [['686/642', 686], '0.000998', '1.555e-06', '0.001268', '1.975e-06', '~:0(&lt;method &#39;format&#39; of &#39;str&#39; objects&gt;)'], [['13', 13], '3e-06', '2.308e-07', '3e-06', '2.308e-07', 'values.py:513(is_terminated)'], [['1', 1], '0', '0', '0', '0', '__init__.py:205(c_longdouble)'], [['6', 6], '1e-06', '1.667e-07', '1.2e-05', '2e-06', 'instructions.py:47(&lt;genexpr&gt;)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', 'ufunc_db.py:8(&lt;module&gt;)'], [['2', 2], '6e-06', '3e-06', '6e-06', '3e-06', 'codegen.py:282(_object_compiled_hook)'], [['3', 3], '0.000537', '0.000179', '0.000537', '0.000179', '~:0(&lt;numpy.core.multiarray.arange&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'npy_pkg_config.py:154(VariableSet)'], [['1', 1], '0.002622', '0.002622', '0.002622', '0.002622', 'heapq.py:31(&lt;module&gt;)'], [['176', 176], '0.000132', '7.5e-07', '0.000132', '7.5e-07', '~:0(&lt;numba.typeconv._typeconv.set_compatible&gt;)'], [['1189', 1189], '0.000106', '8.915e-08', '0.000106', '8.915e-08', '~:0(&lt;method &#39;isalnum&#39; of &#39;str&#39; objects&gt;)'], [['11', 11], '6.1e-05', '5.545e-06', '0.001626', '0.0001478', '__init__.py:1312(callHandlers)'], [['174', 174], '0.000114', '6.552e-07', '0.000133', '7.644e-07', 'sre_compile.py:546(isstring)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'base.py:59(JitClassType)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:596(ComplexModel)'], [['1', 1], '1.9e-05', '1.9e-05', '4.2e-05', '4.2e-05', 'values.py:243(descr)'], [['92', 92], '0.000338', '3.674e-06', '0.001122', '1.22e-05', '_abcoll.py:548(update)'], [['62', 62], '2.1e-05', '3.387e-07', '2.1e-05', '3.387e-07', '__init__.py:166(&lt;genexpr&gt;)'], [['12', 12], '1.8e-05', '1.5e-06', '2.1e-05', '1.75e-06', 'collections.py:69(__delitem__)'], [['406', 406], '3.2e-05', '7.882e-08', '3.2e-05', '7.882e-08', '~:0(&lt;globals&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'plyparser.py:30(ParseError)'], [['18', 18], '1e-06', '5.556e-08', '1e-06', '5.556e-08', '~:0(&lt;method &#39;clear&#39; of &#39;dict&#39; objects&gt;)'], [['3', 3], '1e-06', '3.333e-07', '1e-06', '3.333e-07', 'instructions.py:40(set_metadata)'], [['1', 1], '9.1e-05', '9.1e-05', '9.8e-05', '9.8e-05', 'generators.py:3(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'solver.py:7(CFLError)'], [['1', 1], '5.5e-05', '5.5e-05', '0.000251', '0.000251', 'singledispatch_helpers.py:4(&lt;module&gt;)'], [['1', 1], '0.003985', '0.003985', '0.009934', '0.009934', 'types.py:4(&lt;module&gt;)'], [['1', 1], '1.5e-05', '1.5e-05', '1.5e-05', '1.5e-05', 'passmanagers.py:18(_dispose)'], [['5', 5], '4e-06', '8e-07', '5.3e-05', '1.06e-05', 'ConfigParser.py:358(getint)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'handlers.py:370(WatchedFileHandler)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'core.py:261(InlineAsm)'], [['1', 1], '8e-06', '8e-06', '1.3e-05', '1.3e-05', 'abstracttypes.py:155(__call__)'], [['6', 6], '4.9e-05', '8.167e-06', '0.01916', '0.003194', 'dispatcher.py:622(load_overload)'], [['1', 1], '2.3e-05', '2.3e-05', '0.000103', '0.000103', 'hermite.py:59(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:361(TupleGe)'], [['85', 85], '3.7e-05', '4.353e-07', '3.7e-05', '4.353e-07', '~:0(&lt;method &#39;group&#39; of &#39;_sre.SRE_Match&#39; objects&gt;)'], [['11', 11], '0.000115', '1.045e-05', '0.01798', '0.001634', 'solution.py:235(__deepcopy__)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'solver.py:616(ClawSolver3D)'], [['6', 6], '5e-06', '8.333e-07', '5e-06', '8.333e-07', 'funcdesc.py:97(llvm_func_name)'], [['1', 1], '0.001081', '0.001081', '0.00633', '0.00633', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '0.000315', '0.000315', '0.1271', '0.1271', 'type_check.py:3(&lt;module&gt;)'], [['3', 3], '5.1e-05', '1.7e-05', '5.3e-05', '1.767e-05', '__init__.py:493(PYFUNCTYPE)'], [['1', 1], '2e-06', '2e-06', '5e-06', '5e-06', 'context.py:7(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'generators.py:201(GeneratorLower)'], [['48', 48], '2.7e-05', '5.625e-07', '2.7e-05', '5.625e-07', '_weakrefset.py:52(_commit_removals)'], [['1', 1], '0', '0', '0', '0', 'static_getitem.py:5(RewriteConstGetitems)'], [['7', 7], '8e-06', '1.143e-06', '1e-05', '1.429e-06', 'builder.py:84(__init__)'], [['19', 19], '0.000106', '5.579e-06', '0.00017', '8.947e-06', 'npyimpl.py:469(register_binary_operator_kernel)'], [['1', 1], '1.2e-05', '1.2e-05', '1.6e-05', '1.6e-05', 'value.py:79(ValueRef)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:270(BoundFunction)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'psystem_2D_constants.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:74(LazyImporter)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'compiler.py:197(CUDAKernelBase)'], [['1', 1], '1e-05', '1e-05', '1e-05', '1e-05', 'compat.py:4(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'types.py:984(NestedArray)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:350(EllipsisParam)'], [['9', 9], '9e-06', '1e-06', '0.000142', '1.578e-05', 'extras.py:231(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'advection_2D_constants.py:1(&lt;module&gt;)'], [['42/32', 42], '1.7e-05', '5.312e-07', '0.000183', '5.719e-06', 'types.py:108(__str__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'devicearray.py:241(DeviceRecord)'], [['37', 37], '2.5e-05', '6.757e-07', '3.3e-05', '8.919e-07', 'types.py:195(format_const)'], [['45', 45], '1.3e-05', '2.889e-07', '1.3e-05', '2.889e-07', 'geometry.py:178(mapc2p)'], [['4806', 4806], '0.01772', '3.687e-06', '0.01772', '3.687e-06', '~:0(&lt;numpy.core.multiarray.zeros&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'cgutils.py:207(ValueStructProxy)'], [['1', 1], '8e-06', '8e-06', '9e-06', '9e-06', 'controller.py:22(Controller)'], [['7', 7], '2e-06', '2.857e-07', '2e-06', '2.857e-07', 'case.py:607(_deprecate)'], [['1', 1], '6e-06', '6e-06', '1.1e-05', '1.1e-05', 'builtins.py:311(UnorderedCmpOp)'], [['1', 1], '6e-06', '6e-06', '1.1e-05', '1.1e-05', 'utf_8.py:33(getregentry)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:1007(__init__)'], [['130', 130], '7.1e-05', '5.462e-07', '0.000122', '9.385e-07', 'sre_parse.py:85(closegroup)'], [['2', 2], '7e-06', '3.5e-06', '7e-06', '3.5e-06', 'transforms.py:23(opt_level)'], [['149', 149], '2.1e-05', '1.409e-07', '2.1e-05', '1.409e-07', '~:0(&lt;method &#39;isdigit&#39; of &#39;str&#39; objects&gt;)'], [['3', 3], '1e-06', '3.333e-07', '1e-06', '3.333e-07', '_inspect.py:144(&lt;lambda&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'core.py:2474(_arraymethod)'], [['5', 5], '8e-06', '1.6e-06', '8e-06', '1.6e-06', 'weakref.py:282(__init__)'], [['1', 1], '1.2e-05', '1.2e-05', '1.2e-05', '1.2e-05', 'shape_base.py:1(&lt;module&gt;)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'wrapper.py:8(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'externals.py:105(_Installer)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'core.py:95(Constant)'], [['4803', 4803], '0.01286', '2.677e-06', '0.02559', '5.328e-06', 'solver.py:530(get_dt_new)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'imputils.py:228(_IternextResult)'], [['43', 43], '2.2e-05', '5.116e-07', '0.000212', '4.93e-06', 'typeconv.py:45(set_safe_convert)'], [['6', 6], '1.5e-05', '2.5e-06', '1.5e-05', '2.5e-06', 'six.py:124(__init__)'], [['1', 1], '0', '0', '0', '0', 'lex.py:63(LexError)'], [['1', 1], '0.000113', '0.000113', '0.000113', '0.000113', '~:0(&lt;_curses.setupterm&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'collections.py:98(NamedTupleClassAttribute)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'utils.py:503(_Info)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'nvvm.py:309(LibDevice)'], [['1', 1], '0', '0', '0', '0', 'listdecl.py:21(ListBuiltin)'], [['1', 1], '0', '0', '0', '0', 'typeinfer.py:222(PairSecondConstraint)'], [['1', 1], '0', '0', '0', '0', 'core.py:6127(_extrema_operation)'], [['1', 1], '0', '0', '0', '0', 'types.py:884(MemoryView)'], [['1', 1], '3.3e-05', '3.3e-05', '0.000775', '0.000775', 'util.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'SocketServer.py:484(UDPServer)'], [['1', 1], '2.7e-05', '2.7e-05', '5.6e-05', '5.6e-05', 'iterators.py:3(&lt;module&gt;)'], [['8', 8], '0.000184', '2.3e-05', '0.001069', '0.0001336', '__init__.py:512(from_function)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'module.py:5(Module)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', '&lt;string&gt;:1(_UnboxContext)'], [['294', 294], '0.00038', '1.293e-06', '0.000577', '1.963e-06', 'StringIO.py:208(write)'], [['10', 10], '8e-06', '8e-07', '8e-06', '8e-07', 'module.py:101(get_identified_types)'], [['8', 8], '2e-06', '2.5e-07', '2e-06', '2.5e-07', 'threading.py:59(__init__)'], [['1', 1], '8e-06', '8e-06', '1e-05', '1e-05', 'geometry.py:485(Dimension)'], [['8', 8], '5e-06', '6.25e-07', '5e-06', '6.25e-07', 'types.py:63(__lt__)'], [['2', 2], '1.1e-05', '5.5e-06', '7.1e-05', '3.55e-05', '__init__.py:819(__init__)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'models.py:319(UniTupleModel)'], [['1', 1], '7e-06', '7e-06', '8.8e-05', '8.8e-05', 'solver.py:35(Solver)'], [['1', 1], '0.001717', '0.001717', '0.002851', '0.002851', 'arrayobj.py:4(&lt;module&gt;)'], [['1', 1], '0.0004', '0.0004', '0.000453', '0.000453', 'linker.py:6(link_modules)'], [['141', 141], '9.5e-05', '6.738e-07', '0.000157', '1.113e-06', 'inspect.py:67(ismethod)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ConfigParser.py:188(InterpolationSyntaxError)'], [['1', 1], '0', '0', '0', '0', 'mathdecl.py:53(Math_expm1)'], [['1', 1], '0', '0', '0', '0', '__init__.py:189(c_int)'], [['2', 2], '2.8e-05', '1.4e-05', '0.000341', '0.0001705', '__init__.py:71(search_function)'], [['1', 1], '8.5e-05', '8.5e-05', '9e-05', '9e-05', 'socket.py:189(__init__)'], [['1', 1], '0', '0', '0', '0', 'geometry.py:14(identity_map_1d)'], [['1', 1], '0', '0', '0', '0', 'core.py:8(LLVMException)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'yacc.py:191(YaccProduction)'], [['19', 19], '6e-06', '3.158e-07', '1.2e-05', '6.316e-07', 'tokenize.py:45(group)'], [['1', 1], '0.001165', '0.001165', '0.002071', '0.002071', 'models.py:1(&lt;module&gt;)'], [['3', 3], '4e-06', '1.333e-06', '5e-06', '1.667e-06', 'ffi.py:145(__enter__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:289(EphemeralArrayModel)'], [['1', 1], '0.003291', '0.003291', '0.125', '0.125', 'driver.py:12(&lt;module&gt;)'], [['171', 171], '0.000254', '1.485e-06', '0.000685', '4.006e-06', 'abc.py:89(&lt;genexpr&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'yacc.py:1314(Grammar)'], [['1', 1], '0.001066', '0.001066', '0.02105', '0.02105', 'cffi_utils.py:5(&lt;module&gt;)'], [['1', 1], '2.3e-05', '2.3e-05', '3.3e-05', '3.3e-05', 'state.py:8(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'driver.py:9(FakeDriver)'], [['51', 51], '2e-05', '3.922e-07', '2e-05', '3.922e-07', 'abc.py:15(abstractmethod)'], [['6', 6], '4e-06', '6.667e-07', '5e-06', '8.333e-07', 'builder.py:115(position_at_end)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'signals.py:9(_InterruptHandler)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'abstracttypes.py:255(IterableType)'], [['14492', 14492], '0.02385', '1.646e-06', '0.0293', '2.022e-06', 'solver.py:140(__setattr__)'], [['6', 6], '1e-05', '1.667e-06', '0.000306', '5.1e-05', 'dispatcher.py:689(_index_key)'], [['1', 1], '0', '0', '0', '0', 'instructions.py:575(CmpXchg)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'callconv.py:443(PythonErrorModel)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:10(Loc)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:243(DatetimeCmpNe)'], [['1', 1], '0.00013', '0.00013', '0.000749', '0.000749', 'cgutils.py:3(&lt;module&gt;)'], [['7', 7], '0.000204', '2.914e-05', '0.000207', '2.957e-05', '__init__.py:78(CFUNCTYPE)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:147(PyObject)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'kernelapi.py:37(FakeCUDALocal)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'handlers.py:162(TimedRotatingFileHandler)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'devicearray.py:4(&lt;module&gt;)'], [['14', 14], '3e-06', '2.143e-07', '1.4e-05', '1e-06', 'numerictypes.py:181(english_capitalize)'], [['1', 1], '0', '0', '0', '0', 'core.py:6184(_minimum_operation)'], [['1', 1], '0.000684', '0.000684', '0.001162', '0.001162', 'compiler.py:2(&lt;module&gt;)'], [['2310', 2310], '0.002077', '8.991e-07', '0.002615', '1.132e-06', 'copy.py:267(_keep_alive)'], [['8', 8], '0.001012', '0.0001265', '0.003568', '0.000446', '__init__.py:150(__new__)'], [['1', 1], '1.3e-05', '1.3e-05', '0.000143', '0.000143', 'solver.py:199(setup)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'collections.py:395(Counter)'], [['3', 3], '1e-06', '3.333e-07', '1e-06', '3.333e-07', 'core.py:762(__init__)'], [['44', 44], '3e-05', '6.818e-07', '4.5e-05', '1.023e-06', 'six.py:103(__init__)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'array.py:18(FakeCUDAArray)'], [['1', 1], '2.8e-05', '2.8e-05', '0.01253', '0.01253', 'codegen.py:451(_module_pass_manager)'], [['1444', 1444], '0.000386', '2.673e-07', '0.000503', '3.483e-07', 'sre_parse.py:137(__len__)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', 'threadlocal.py:10(__init__)'], [['1', 1], '0.001815', '0.001815', '0.002638', '0.002638', '__init__.py:1(&lt;module&gt;)'], [['1', 1], '5.5e-05', '5.5e-05', '0.000126', '0.000126', 'SocketServer.py:120(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'errors.py:63(DistutilsTemplateError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'registry.py:21(TargetRegistry)'], [['1008', 1008], '0.000265', '2.629e-07', '0.000265', '2.629e-07', '~:0(&lt;method &#39;startswith&#39; of &#39;str&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'operatordecl.py:17(MappedOperator)'], [['1', 1], '0', '0', '0', '0', 'errors.py:13(DistutilsError)'], [['1', 1], '0.000167', '0.000167', '0.000244', '0.000244', 'deviceufunc.py:3(&lt;module&gt;)'], [['2', 2], '2e-06', '1e-06', '4e-06', '2e-06', 'npyimpl.py:461(register_unary_operator_kernel)'], [['39', 39], '4.4e-05', '1.128e-06', '9.2e-05', '2.359e-06', 'values.py:100(get_reference)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'controlflow.py:36(Loop)'], [['1', 1], '0.000736', '0.000736', '0.1572', '0.1572', '__init__.py:1(&lt;module&gt;)'], [['6', 6], '5e-06', '8.333e-07', '8e-06', '1.333e-06', 'imputils.py:56(lower_getattr_generic)'], [['2', 2], '5e-06', '2.5e-06', '1e-05', '5e-06', 'numeric.py:1777(set_string_function)'], [['28', 28], '2.2e-05', '7.857e-07', '2.8e-05', '1e-06', 'instructions.py:23(_stringify_metatdata)'], [['1', 1], '0.000103', '0.000103', '0.000105', '0.000105', 'ast.py:27(&lt;module&gt;)'], [['22', 22], '0.00012', '5.455e-06', '0.000503', '2.286e-05', '__init__.py:452(format)'], [['21/13', 21], '2.8e-05', '2.154e-06', '6.3e-05', '4.846e-06', 'model.py:63(__hash__)'], [['1', 1], '1.5e-05', '1.5e-05', '1.5e-05', '1.5e-05', 'random.py:655(WichmannHill)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:243(c_char_p)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'log.py:16(__init__)'], [['6', 6], '1.4e-05', '2.333e-06', '3e-05', '5e-06', 'serialize.py:35(_rebuild_module)'], [['6', 6], '8e-06', '1.333e-06', '7.5e-05', '1.25e-05', 'builder.py:119(append_basic_block)'], [['1', 1], '3.1e-05', '3.1e-05', '0.000119', '0.000119', 'api.py:3(&lt;module&gt;)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', '__init__.py:648(Handler)'], [['1', 1], '0', '0', '0', '0', 'stubs.py:355(max)'], [['1', 1], '0.000548', '0.000548', '0.002667', '0.002667', 'solver.py:7(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;_cffi_backend._get_types&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:41(LoweringError)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', 'context.py:48(__init__)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'types.py:1230(List)'], [['1', 1], '0', '0', '0', '0', '__init__.py:179(_void)'], [['1', 1], '3e-06', '3e-06', '1.1e-05', '1.1e-05', 'mathdecl.py:114(Math_pow)'], [['1', 1], '1.5e-05', '1.5e-05', '1.5e-05', '1.5e-05', 'module.py:66(_dispose)'], [['1', 1], '0', '0', '0', '0', 'six.py:185(__get_module)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'extras.py:214(_fromnxfunction)'], [['99', 99], '2.4e-05', '2.424e-07', '2.4e-05', '2.424e-07', '~:0(&lt;method &#39;iteritems&#39; of &#39;dict&#39; objects&gt;)'], [['5', 5], '4e-06', '8e-07', '4e-06', '8e-07', 'six.py:177(_get_module)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'warnings.py:340(__init__)'], [['4', 4], '7e-06', '1.75e-06', '7e-06', '1.75e-06', 'registry.py:41(register)'], [['6', 6], '2.9e-05', '4.833e-06', '0.000308', '5.133e-05', 'atomicops.py:220(_process_function)'], [['1', 1], '2e-06', '2e-06', '6e-06', '6e-06', 'extras.py:1359(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'acoustics_1D_constants.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '4e-06', '4e-06', 'solver.py:675(SharpClawSolver1D)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'wrappers.py:501(GUArrayArg)'], [['4804', 4804], '0.02597', '5.405e-06', '2.681', '0.0005581', 'euler_1D_py.py:51(euler_roe_1D)'], [['1', 1], '0', '0', '0', '0', 'ascii.py:24(IncrementalDecoder)'], [['1', 1], '0.007746', '0.007746', '0.01624', '0.01624', 'compiler.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '4e-06', '4e-06', 'abstracttypes.py:223(Callable)'], [['1', 1], '8e-06', '8e-06', '0.000196', '0.000196', 'randomimpl.py:33(random_init)'], [['3', 3], '3e-06', '1e-06', '3e-06', '1e-06', '_osx_support.py:138(&lt;genexpr&gt;)'], [['1', 1], '4.4e-05', '4.4e-05', '4.6e-05', '4.6e-05', 'numerictypes.py:338(_add_integer_aliases)'], [['1', 1], '3e-06', '3e-06', '0.00034', '0.00034', 'version.py:228(LooseVersion)'], [['1', 1], '7.1e-05', '7.1e-05', '7.8e-05', '7.8e-05', 'intrinsics.py:3(&lt;module&gt;)'], [['119', 119], '4.2e-05', '3.529e-07', '4.2e-05', '3.529e-07', 'opcode.py:27(def_op)'], [['1', 1], '1.1e-05', '1.1e-05', '8.8e-05', '8.8e-05', 'builtins.py:277(UnaryOp)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'interpreter.py:74(GeneratorInfo)'], [['1', 1], '1e-06', '1e-06', '4e-06', '4e-06', 'core.py:198(new)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '~:0(&lt;posix.sysconf&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'compiler.py:201(_PipelineManager)'], [['14', 14], '7e-06', '5e-07', '7e-06', '5e-07', 'npydecl.py:217(&lt;genexpr&gt;)'], [['1', 1], '0', '0', '0', '0', 'pythonapi.py:49(_BoxContext)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:738(Enumerate)'], [['1', 1], '0', '0', '0', '0', 'listdecl.py:215(ListLt)'], [['5', 5], '4e-06', '8e-07', '4e-06', '8e-07', 'types.py:287(__init__)'], [['2', 2], '1e-06', '5e-07', '1e-06', '5e-07', 'instructions.py:260(cond)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:240(BitwiseRightShift)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'driver.py:857(PinnedMemory)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'instructions.py:166(Ret)'], [['8', 8], '3e-05', '3.75e-06', '7.7e-05', '9.625e-06', 'inspect.py:398(getfile)'], [['6', 6], '3.5e-05', '5.833e-06', '0.000296', '4.933e-05', 'codegen.py:483(magic_tuple)'], [['1', 1], '0', '0', '0', '0', 'arraydecl.py:369(StaticSetItemRecord)'], [['1', 1], '3e-06', '3e-06', '0.00013', '0.00013', '_osx_support.py:368(customize_config_vars)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'yacc.py:1269(LRItem)'], [['1', 1], '0.000184', '0.000184', '0.001647', '0.001647', 'ConfigParser.py:88(&lt;module&gt;)'], [['4805', 4805], '0.1015', '2.112e-05', '0.2286', '4.757e-05', 'solver.py:357(_apply_bcs)'], [['4804', 4804], '0.006671', '1.389e-06', '0.008949', '1.863e-06', 'solution.py:206(set_all_states)'], [['4804', 4804], '0.005035', '1.048e-06', '0.03993', '8.312e-06', '~:0(&lt;method &#39;any&#39; of &#39;numpy.ndarray&#39; objects&gt;)'], [['1', 1], '1.1e-05', '1.1e-05', '1.1e-05', '1.1e-05', 'misc_util.py:695(Configuration)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:5(NumbaError)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:779(TypeBuiltin)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'testing.py:381(_MinimalResult)'], [['8', 8], '1.4e-05', '1.75e-06', '0.0001', '1.25e-05', 'values.py:120(__eq__)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'arrayobj.py:552(EntireIndexer)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'info.py:83(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:130(ArrayDecl)'], [['1', 1], '2.4e-05', '2.4e-05', '2.4e-05', '2.4e-05', '&lt;string&gt;:1(_CompileResult)'], [['1', 1], '0', '0', '0', '0', 'models.py:863(NPDatetimeModel)'], [['9', 9], '6e-06', '6.667e-07', '2.9e-05', '3.222e-06', 'config.py:105(_strip_spaces)'], [['1', 1], '1.1e-05', '1.1e-05', '0.01063', '0.01063', 'codegen.py:151(add_llvm_module)'], [['9', 9], '1.7e-05', '1.889e-06', '0.01406', '0.001563', 'typeconv.py:85(safe_unsafe)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'types.py:95(PointerType)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'deviceufunc.py:727(GUFuncCallSteps)'], [['1', 1], '0.000231', '0.000231', '0.000258', '0.000258', 'array.py:1(&lt;module&gt;)'], [['30', 30], '2.4e-05', '8e-07', '9.4e-05', '3.133e-06', '__init__.py:214(_releaseLock)'], [['7', 7], '2e-06', '2.857e-07', '9e-06', '1.286e-06', '__init__.py:1131(setLevel)'], [['2', 2], '1e-05', '5e-06', '1.2e-05', '6e-06', 'warnings.py:96(simplefilter)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'codegen.py:491(AOTCPUCodegen)'], [['1', 1], '3e-06', '3e-06', '0.001785', '0.001785', '__init__.py:929(_open)'], [['38', 38], '1.3e-05', '3.421e-07', '1.3e-05', '3.421e-07', 'imputils.py:43(lower_getattr)'], [['6', 6], '8e-06', '1.333e-06', '4.1e-05', '6.833e-06', 'dispatcher.py:699(_data_path)'], [['1', 1], '0.000117', '0.000117', '0.000212', '0.000212', 'listobj.py:3(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', '__init__.py:183(_empty)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'decoder.py:272(JSONDecoder)'], [['1338', 1338], '0.000405', '3.027e-07', '0.000511', '3.819e-07', 'collections.py:337(&lt;genexpr&gt;)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', 'typeconv.py:6(TypeManager)'], [['2', 2], '3e-06', '1.5e-06', '3e-06', '1.5e-06', 'types.py:1121(key)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:529(BooleanAttribute)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'threading.py:57(_Verbose)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'templates.py:284(_OverloadFunctionTemplate)'], [['1', 1], '7e-06', '7e-06', '9e-06', '9e-06', 'module.py:158(functions)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'cgutils.py:222(DataStructProxy)'], [['1', 1], '0', '0', '0', '0', 'stubs.py:159(syncthreads)'], [['1', 1], '4.2e-05', '4.2e-05', '0.01975', '0.01975', 'codegen.py:399(_init)'], [['14', 14], '1e-05', '7.143e-07', '6.4e-05', '4.571e-06', 'instructions.py:147(&lt;genexpr&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npyimpl.py:38(_ScalarIndexingHelper)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'core.py:827(_DomainGreaterEqual)'], [['1', 1], '4.7e-05', '4.7e-05', '0.002482', '0.002482', 'config.py:140(_install_handlers)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'service.py:10(Service)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', '_version.py:18(NumpyVersion)'], [['1', 1], '0.000119', '0.000119', '0.00033', '0.00033', 'numerictypes.py:301(_add_aliases)'], [['1', 1], '5e-06', '5e-06', '7e-06', '7e-06', 'atexit.py:6(&lt;module&gt;)'], [['5', 5], '1e-05', '2e-06', '4.4e-05', '8.8e-06', 'compiler.py:345(&lt;lambda&gt;)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', '_datasource.py:74(__init__)'], [['21', 21], '8e-06', '3.81e-07', '2.1e-05', '1e-06', 'fancy_getopt.py:469(translate_longopt)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'solver.py:28(SharpClawSolver)'], [['8', 8], '1.4e-05', '1.75e-06', '1.9e-05', '2.375e-06', 'utils.py:215(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'collections.py:32(SequenceBool)'], [['1', 1], '0.001698', '0.001698', '0.001707', '0.001707', 'module.py:46(__str__)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', '_internal.py:196(_getintp_ctype)'], [['1', 1], '0.0022', '0.0022', '0.003642', '0.003642', 'nrt.py:1(&lt;module&gt;)'], [['1', 1], '2.3e-05', '2.3e-05', '0.000285', '0.000285', 'rangeobj.py:3(&lt;module&gt;)'], [['40', 40], '3.7e-05', '9.25e-07', '3.7e-05', '9.25e-07', 'weakref.py:329(__getitem__)'], [['1', 1], '0', '0', '1e-06', '1e-06', 'copy_reg.py:27(constructor)'], [['1', 1], '3e-06', '3e-06', '6e-06', '6e-06', 'controller.py:67(verbosity)'], [['1', 1], '3.2e-05', '3.2e-05', '3.4e-05', '3.4e-05', 'machar.py:7(&lt;module&gt;)'], [['1', 1], '0', '0', '0', '0', 'polyutils.py:62(PolyError)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'model.py:54(BaseType)'], [['1', 1], '0.004069', '0.004069', '0.01031', '0.01031', '__init__.py:3(&lt;module&gt;)'], [['1', 1], '3.6e-05', '3.6e-05', '0.004823', '0.004823', '__init__.py:109(cpu_count)'], [['1', 1], '1e-05', '1e-05', '1.2e-05', '1.2e-05', 'log.py:1(&lt;module&gt;)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'callconv.py:51(BaseCallConv)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'static_getitem.py:43(RewriteConstSetitems)'], [['1', 1], '4.1e-05', '4.1e-05', '4.1e-05', '4.1e-05', 'transforms.py:78(_populate_function_pm)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'dispatcher.py:18(CUDATarget)'], [['1', 1], '7e-06', '7e-06', '1e-05', '1e-05', 'process.py:86(Process)'], [['1', 1], '8.3e-05', '8.3e-05', '8.8e-05', '8.8e-05', 'signals.py:1(&lt;module&gt;)'], [['81', 81], '7.7e-05', '9.506e-07', '0.000105', '1.296e-06', 'six.py:139(__init__)'], [['13', 13], '5.3e-05', '4.077e-06', '0.001995', '0.0001535', 'values.py:521(descr)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'euler_with_efix_1D_constants.py:1(&lt;module&gt;)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'kernelapi.py:118(FakeCUDAModule)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'wrappers.py:268(_GufuncWrapper)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:360(EmptyStatement)'], [['9', 9], '1.4e-05', '1.556e-06', '0.000161', '1.789e-05', 'instructions.py:167(__init__)'], [['1', 1], '0', '0', '0', '0', 'driver.py:45(LinkerError)'], [['1', 1], '0.00142', '0.00142', '0.07548', '0.07548', 'unittest_support.py:3(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:69(Complex)'], [['12', 12], '2.1e-05', '1.75e-06', '0.000324', '2.7e-05', '__init__.py:1559(getLogger)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:284(UnaryNegate)'], [['1', 1], '6e-06', '6e-06', '2.4e-05', '2.4e-05', 'builtins.py:162(BinOp)'], [['11', 11], '1.4e-05', '1.273e-06', '2.2e-05', '2e-06', '__init__.py:70(&lt;lambda&gt;)'], [['1', 1], '7.7e-05', '7.7e-05', '0.000142', '0.000142', 'slicing.py:3(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:243(DoubleType)'], [['9609', 9609], '0.004802', '4.997e-07', '0.007201', '7.494e-07', 'geometry.py:678(num_dim)'], [['7', 7], '2.2e-05', '3.143e-06', '2.2e-05', '3.143e-06', 'passmanagers.py:41(initialize)'], [['1', 1], '0', '0', '0', '0', '__init__.py:201(c_double)'], [['8', 8], '1.6e-05', '2e-06', '2.3e-05', '2.875e-06', 'value.py:83(__init__)'], [['1', 1], '0', '0', '0', '0', 'typeinfer.py:164(BuildListConstraint)'], [['1', 1], '0', '0', '0', '0', 'core.py:2311(__init__)'], [['8', 8], '2.6e-05', '3.25e-06', '2.6e-05', '3.25e-06', 'dispatcher.py:236(__repr__)'], [['22', 22], '9.6e-05', '4.364e-06', '0.000313', '1.423e-05', '__init__.py:405(formatTime)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'nonlinear_elasticity_1D_py.py:22(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'templates.py:523(MacroTemplate)'], [['1', 1], '0', '0', '0', '0', 'config.py:333(ConvertingList)'], [['3', 3], '2e-06', '6.667e-07', '2e-06', '6.667e-07', '__init__.py:391(__init__)'], [['5', 5], '7.3e-05', '1.46e-05', '7.3e-05', '1.46e-05', '~:0(&lt;posix.getcwd&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'util.py:685(__init__)'], [['1', 1], '0', '0', '0', '0', 'model.py:168(BaseFunctionType)'], [['4804', 4804], '0.01825', '3.8e-06', '0.04734', '9.855e-06', 'solver.py:534(get_dt)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:434(Arg)'], [['1', 1], '2e-06', '2e-06', '1e-05', '1e-05', 'values.py:234(__init__)'], [['181', 181], '0.00023', '1.271e-06', '0.00032', '1.768e-06', 'templates.py:107(signature)'], [['2', 2], '6e-06', '3e-06', '0.0001', '5e-05', 'model.py:229(build_backend_type)'], [['1', 1], '0', '0', '0', '0', 'types.py:76(MetaData)'], [['23', 23], '2.2e-05', '9.565e-07', '2.2e-05', '9.565e-07', 'numpy_support.py:85(from_dtype)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'generators.py:291(LowerYield)'], [['8', 8], '2.5e-05', '3.125e-06', '2.9e-05', '3.625e-06', 'registry.py:37(__getitem__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'model.py:431(StructType)'], [['5', 5], '1.2e-05', '2.4e-06', '4.9e-05', '9.8e-06', 'ConfigParser.py:355(_get)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'typeinfer.py:145(BuildTupleConstraint)'], [['24', 24], '5e-06', '2.083e-07', '8e-06', '3.333e-07', 'core.py:12(&lt;lambda&gt;)'], [['1', 1], '2.9e-05', '2.9e-05', '3e-05', '3e-05', 'stubs.py:343(atomic)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npydecl.py:433(NdConstructorLike)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'dist.py:1011(DistributionMetadata)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'templates.py:272(ConcreteTemplate)'], [['1', 1], '9.3e-05', '9.3e-05', '0.01211', '0.01211', 'geometry.py:285(_compute_c_centers)'], [['1', 1], '0', '0', '0', '0', 'arrayprint.py:684(LongComplexFormat)'], [['1', 1], '0.002693', '0.002693', '0.002829', '0.002829', 'socket.py:45(&lt;module&gt;)'], [['18', 18], '3.5e-05', '1.944e-06', '8.1e-05', '4.5e-06', '_utils.py:37(get_child)'], [['1', 1], '0', '0', '0', '0', '__init__.py:537(Filter)'], [['1', 1], '7e-06', '7e-06', '7e-06', '7e-06', 'solver.py:23(ClawSolver)'], [['10', 10], '2.6e-05', '2.6e-06', '8.6e-05', '8.6e-06', 'module.py:104(_stringify_metadata)'], [['14', 14], '6e-06', '4.286e-07', '6e-06', '4.286e-07', 'utils.py:102(&lt;genexpr&gt;)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'getlimits.py:195(iinfo)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:275(Aggregate)'], [['1', 1], '0', '0', '0', '0', 'imputils.py:105(RegistryLoader)'], [['1', 1], '0', '0', '0', '0', 'listdecl.py:191(InplaceMulList)'], [['24019', 24019], '0.003715', '1.547e-07', '0.003715', '1.547e-07', 'cfl.py:19(get_cached_max)'], [['15718/15473', 15718], '0.00719', '4.647e-07', '0.01065', '6.885e-07', 'abstracttypes.py:99(__hash__)'], [['2', 2], '1.5e-05', '7.5e-06', '0.000117', '5.85e-05', 'utils.py:117(deprecate)'], [['65', 65], '6.5e-05', '1e-06', '0.000795', '1.223e-05', 'registry.py:11(wraps)'], [['65', 65], '3.6e-05', '5.538e-07', '3.6e-05', '5.538e-07', 'registry.py:7(register)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:79(LibError)'], [['1', 1], '2.5e-05', '2.5e-05', '2.6e-05', '2.6e-05', 'getopt.py:15(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ascii.py:20(IncrementalEncoder)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'handlers.py:1169(MemoryHandler)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:708(TypeDecl)'], [['6', 6], '1.8e-05', '3e-06', '2.5e-05', '4.167e-06', 'types.py:598(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'testing.py:268(RefleakTestResult)'], [['9', 9], '1.6e-05', '1.778e-06', '0.000105', '1.167e-05', 'instructions.py:178(descr)'], [['1', 1], '0', '0', '0', '0', 'types.py:226(FloatType)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:365(DType)'], [['2', 2], '3e-06', '1.5e-06', '1.8e-05', '9e-06', 'threading.py:242(Condition)'], [['37', 37], '3.6e-05', '9.73e-07', '5.9e-05', '1.595e-06', 'builder.py:209(_insert)'], [['9631', 9631], '0.008623', '8.953e-07', '0.008623', '8.953e-07', 'state.py:81(num_eqn)'], [['2', 2], '2e-06', '1e-06', '7e-06', '3.5e-06', 'cgutils.py:388(get_null_value)'], [['485', 485], '0.000319', '6.577e-07', '0.000319', '6.577e-07', '~:0(&lt;method &#39;match&#39; of &#39;_sre.SRE_Pattern&#39; objects&gt;)'], [['22', 22], '5.9e-05', '2.682e-06', '0.000406', '1.845e-05', '__init__.py:830(flush)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'ConfigParser.py:112(Error)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npdatetime.py:118(TimedeltaUnaryNeg)'], [['1', 1], '0', '0', '1e-06', '1e-06', 'values.py:201(get_reference)'], [['1', 1], '0.001286', '0.001286', '0.001809', '0.001809', 'kernel.py:1(&lt;module&gt;)'], [['4', 4], '0.1745', '0.04362', '0.1745', '0.04362', '~:0(&lt;_ctypes.dlopen&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'cffi_utils.py:158(FFIAttribute)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'models.py:227(MemInfoModel)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:1348(EphemeralArray)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'intrinsics.py:3(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:139(_NoValue)'], [['40', 40], '0.00012', '3e-06', '0.02625', '0.0006562', 'castgraph.py:122(insert_rule)'], [['1', 1], '0.00016', '0.00016', '0.000219', '0.000219', 'collections.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'model.py:7(BaseTypeByIdentity)'], [['6', 6], '5.1e-05', '8.5e-06', '0.000325', '5.417e-05', 'dispatcher.py:114(add_overload)'], [['40', 40], '8.2e-05', '2.05e-06', '0.000124', '3.1e-06', 'singledispatch.py:173(dispatch)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'types.py:203(Macro)'], [['1', 1], '4.8e-05', '4.8e-05', '4.9e-05', '4.9e-05', 'lock.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'builtins.py:756(Zip)'], [['33658', 33658], '0.03507', '1.042e-06', '0.04152', '1.233e-06', 'geometry.py:145(dimensions)'], [['1', 1], '2e-06', '2e-06', '6e-06', '6e-06', 'randomdecl.py:77(Numpy_exponential)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'runner.py:12(_WritelnDecorator)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:341(TupleCompare)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'utils.py:203(UniqueDict)'], [['1', 1], '1e-05', '1e-05', '1e-05', '1e-05', '&lt;string&gt;:1(Match)'], [['1', 1], '7.1e-05', '7.1e-05', '0.000354', '0.000354', 'mathimpl.py:3(&lt;module&gt;)'], [['1', 1], '1.6e-05', '1.6e-05', '8.8e-05', '8.8e-05', 'builtins.py:268(BitwiseInvert)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '__init__.py:1(&lt;module&gt;)'], [['8', 8], '8e-06', '1e-06', '8e-06', '1e-06', 'types.py:391(_store_object)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'process.py:299(_MainProcess)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'registry.py:8(Rewrite)'], [['13', 13], '1.4e-05', '1.077e-06', '0.000117', '9e-06', 'values.py:479(__str__)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', 'config.py:21(PyPIRCCommand)'], [['2', 2], '4e-06', '2e-06', '3.6e-05', '1.8e-05', 'instructions.py:557(__init__)'], [['13', 13], '0.000228', '1.754e-05', '0.000444', '3.415e-05', 'npydecl.py:360(_numpy_redirect)'], [['1', 1], '0', '0', '0', '0', 'builtins.py:369(TupleLe)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'types.py:1435(EllipsisType)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'lex.py:78(PlyLogger)'], [['1', 1], '0.000634', '0.000634', '0.000698', '0.000698', 'core.py:2659(MaskedArray)'], [['9620', 9620], '0.002157', '2.242e-07', '0.002157', '2.242e-07', 'solution.py:87(state)'], [['1', 1], '0.000462', '0.000462', '0.000993', '0.000993', 'numeric.py:1842(indices)'], [['9', 9], '1.9e-05', '2.111e-06', '5.1e-05', '5.667e-06', 'module.py:178(__next__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'ir.py:472(FreeVar)'], [['1', 1], '4e-06', '4e-06', '7e-06', '7e-06', 'decoder.py:17(_floatconstants)'], [['1', 1], '0', '0', '0', '0', '__init__.py:255(c_void_p)'], [['1', 1], '0', '0', '0', '0', 'geometry.py:783(patch)'], [['11', 11], '1.6e-05', '1.455e-06', '1.6e-05', '1.455e-06', 'types.py:366(__init__)'], [['1', 1], '7.1e-05', '7.1e-05', '7.3e-05', '7.3e-05', 'ascii.py:8(&lt;module&gt;)'], [['2', 2], '7e-06', '3.5e-06', '0.001247', '0.0006235', 'codegen.py:470(_pass_manager_builder)'], [['1', 1], '3.8e-05', '3.8e-05', '0.005055', '0.005055', 'platform.py:10(&lt;module&gt;)'], [['1', 1], '1.2e-05', '1.2e-05', '6.1e-05', '6.1e-05', 'compiler.py:343(ModuleCompilerPy3)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arrayobj.py:680(BooleanArrayIndexer)'], [['1', 1], '0', '0', '0', '0', '__init__.py:176(c_long)'], [['2', 2], '1.5e-05', '7.5e-06', '1.5e-05', '7.5e-06', 'threading.py:260(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'handlers.py:1050(HTTPHandler)'], [['2', 2], '0.001191', '0.0005955', '0.001191', '0.0005955', 'transforms.py:39(inlining_threshold)'], [['1', 1], '0.001262', '0.001262', '0.004935', '0.004935', 'parallel.py:420(_init)'], [['1', 1], '8e-06', '8e-06', '4.8e-05', '4.8e-05', 'instructions.py:431(descr)'], [['2', 2], '2.7e-05', '1.35e-05', '4.7e-05', '2.35e-05', 'function_base.py:9(linspace)'], [['8', 8], '7e-06', '8.75e-07', '0.002218', '0.0002772', 'typeconv.py:60(promote)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'yacc.py:2762(ParserReflect)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'context.py:20(Rating)'], [['2', 2], '1e-06', '5e-07', '1e-06', '5e-07', 'passes.py:17(_inlining_threshold)'], [['21', 21], '7e-06', '3.333e-07', '2.8e-05', '1.333e-06', 'dist.py:119(&lt;lambda&gt;)'], [['1', 1], '0', '0', '0', '0', 'acoustics_2D_constants.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '_version.py:9(get_versions)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', '~:0(&lt;_hashlib.openssl_sha512&gt;)'], [['1', 1], '0.003268', '0.003268', '0.1565', '0.1565', 'device_init.py:1(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'solver.py:886(SharpClawSolver3D)'], [['1', 1], '0', '0', '0', '0', 'plyparser.py:33(PLYParser)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', 'initialize.py:1(&lt;module&gt;)'], [['9', 9], '1.2e-05', '1.333e-06', '0.000133', '1.478e-05', 'extras.py:235(getdoc)'], [['18', 18], '2.2e-05', '1.222e-06', '0.002609', '0.0001449', 'module.py:115(&lt;genexpr&gt;)'], [['132', 132], '5.1e-05', '3.864e-07', '5.1e-05', '3.864e-07', '~:0(&lt;method &#39;remove&#39; of &#39;list&#39; objects&gt;)'], [['1', 1], '0.001377', '0.001377', '0.004791', '0.004791', 'solver.py:9(&lt;module&gt;)'], [['685', 685], '0.000347', '5.066e-07', '0.000347', '5.066e-07', 'sre_parse.py:92(__init__)'], [['1', 1], '4e-06', '4e-06', '1.4e-05', '1.4e-05', 'model.py:501(unknown_type)'], [['6', 6], '5.4e-05', '9e-06', '0.02076', '0.003461', 'dispatcher.py:164(_compile_for_args)'], [['3', 3], '1.8e-05', '6e-06', '2.3e-05', '7.667e-06', 'imputils.py:212(wrapper)'], [['1', 1], '0', '0', '0', '0', 'SocketServer.py:621(UnixStreamServer)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'error.py:4(CudaDriverError)'], [['1', 1], '0.000231', '0.000231', '0.000231', '0.000231', '~:0(&lt;posix.popen&gt;)'], [['8', 8], '1e-05', '1.25e-06', '4.7e-05', '5.875e-06', 'context.py:315(insert_global)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'compiler.py:196(_EarlyPipelineCompletion)'], [['9', 9], '3.9e-05', '4.333e-06', '5.3e-05', '5.889e-06', 'collections.py:109(values)'], [['13', 13], '2.3e-05', '1.769e-06', '0.000209', '1.608e-05', 'instructions.py:140(__init__)'], [['1', 1], '0.001003', '0.001003', '0.003002', '0.003002', 'index_tricks.py:1(&lt;module&gt;)'], [['6', 6], '9e-06', '1.5e-06', '1.1e-05', '1.833e-06', 'atexit.py:37(register)'], [['9', 9], '2.3e-05', '2.556e-06', '2.3e-05', '2.556e-06', '~:0(&lt;method &#39;sub&#39; of &#39;_sre.SRE_Pattern&#39; objects&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'utils.py:56(_Deprecate)'], [['1', 1], '0', '0', '0', '0', 'npdatetime.py:92(TimedeltaDivOp)'], [['86', 86], '9.4e-05', '1.093e-06', '9.9e-05', '1.151e-06', '__init__.py:70(_is_dunder)'], [['282', 282], '0.000263', '9.326e-07', '0.000263', '9.326e-07', '~:0(&lt;method &#39;update&#39; of &#39;dict&#39; objects&gt;)'], [['1', 1], '0.000586', '0.000586', '0.01092', '0.01092', 'core.py:1(&lt;module&gt;)'], [['23', 23], '1.4e-05', '6.087e-07', '1.4e-05', '6.087e-07', '~:0(&lt;method &#39;find&#39; of &#39;str&#39; objects&gt;)'], [['1', 1], '0', '0', '0', '0', 'values.py:54(ConstOpMixin)'], [['2', 2], '4e-06', '2e-06', '0.000164', '8.2e-05', 'core.py:166(add_function)'], [['1', 1], '0.00215', '0.00215', '0.4548', '0.4548', 'decorators.py:3(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'listdecl.py:169(InplaceAddList)'], [['3', 3], '1e-06', '3.333e-07', '6.8e-05', '2.267e-05', 'rangeobj.py:12(make_range_iterator)'], [['1', 1], '1e-06', '1e-06', '3e-06', '3e-06', 'six.py:78(_import_module)'], [['15', 15], '1.3e-05', '8.667e-07', '3.8e-05', '2.533e-06', 'types.py:35(as_pointer)'], [['10', 10], '7.8e-05', '7.8e-06', '0.002867', '0.0002867', 'module.py:114(__repr__)'], [['8', 8], '2e-06', '2.5e-07', '2e-06', '2.5e-07', '__init__.py:278(kind)'], [['10', 10], '2e-05', '2e-06', '0.000123', '1.23e-05', 'core.py:153(new)'], [['83', 83], '9.1e-05', '1.096e-06', '0.000134', '1.614e-06', 'values.py:91(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'typeinfer.py:398(StaticSetItemConstraint)'], [['1', 1], '0', '0', '0', '0', 'model.py:153(UnknownIntegerType)'], [['8', 8], '4.9e-05', '6.125e-06', '0.00042', '5.25e-05', 'values.py:411(descr_prototype)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'abstracttypes.py:289(Sequence)'], [['1', 1], '6e-06', '6e-06', '2.6e-05', '2.6e-05', 'type_annotations.py:51(TypeAnnotation)'], [['14', 14], '3.6e-05', '2.571e-06', '5.1e-05', '3.643e-06', 'sre_parse.py:227(isname)'], [['75', 75], '1.6e-05', '2.133e-07', '1.6e-05', '2.133e-07', 'builder.py:89(block)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'errors.py:87(UnknownFileError)'], [['1739', 1739], '0.000191', '1.098e-07', '0.000191', '1.098e-07', 'copy.py:198(_deepcopy_atomic)'], [['343', 343], '0.000168', '4.898e-07', '0.000254', '7.405e-07', 'abstracttypes.py:22(_autoincr)'], [['4804', 4804], '0.00597', '1.243e-06', '0.009715', '2.022e-06', 'cfl.py:28(update_global_max)'], [['1', 1], '0.000771', '0.000771', '0.000775', '0.000775', 'bufproto.py:3(&lt;module&gt;)'], [['23', 23], '2.2e-05', '9.565e-07', '2.2e-05', '9.565e-07', 'ffi.py:121(detach)'], [['10', 10], '1.2e-05', '1.2e-06', '7e-05', '7e-06', 'devices.py:242(require_context)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', 'handlers.py:637(SysLogHandler)'], [['11', 11], '4.4e-05', '4e-06', '0.000105', '9.545e-06', 'atomicops.py:266(_prune_redundant_refct_ops)'], [['1', 1], '8e-06', '8e-06', '8e-06', '8e-06', '&lt;string&gt;:1(QuicksortImplementation)'], [['3', 3], '1.5e-05', '5e-06', '0.000136', '4.533e-05', 'instructions.py:101(_descr)'], [['1', 1], '3e-06', '3e-06', '3e-06', '3e-06', 'builtins.py:409(CmpOpIdentity)'], [['1', 1], '2e-06', '2e-06', '4e-06', '4e-06', 'randomdecl.py:38(Random_randint)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'boxing.py:112(Box)'], [['1', 1], '2e-06', '2e-06', '3e-06', '3e-06', 'listobj.py:326(ListIterInstance)'], [['1', 1], '5e-06', '5e-06', '3.7e-05', '3.7e-05', 'builder.py:530(gep)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'c_ast.py:398(EnumeratorList)'], [['1', 1], '0', '0', '0', '0', 'six.py:59(X)'], [['1', 1], '2e-06', '2e-06', '2e-06', '2e-06', 'core.py:6202(__init__)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'types.py:1465(ExceptionInstance)'], [['1', 1], '0', '0', '0', '0', 'base.py:175(ConstructorTemplate)'], [['1', 1], '1.5e-05', '1.5e-05', '0.01929', '0.01929', 'codegen.py:140(add_ir_module)'], [['1', 1], '2e-06', '2e-06', '1.6e-05', '1.6e-05', 'instructions.py:376(__init__)'], [['1', 1], '0.000375', '0.000375', '0.000451', '0.000451', 'typeinfer.py:13(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'version.py:32(Version)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'model.py:201(FunctionPtrType)'], [['1', 1], '0.000339', '0.000339', '0.000963', '0.000963', 'scanner.py:2(&lt;module&gt;)'], [['1', 1], '0.00246', '0.00246', '0.004004', '0.004004', 'builtins.py:1(&lt;module&gt;)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'generators.py:230(PyGeneratorLower)'], [['1', 1], '1.4e-05', '1.4e-05', '1.4e-05', '1.4e-05', '~:0(&lt;numba._dispatcher.typeof_init&gt;)'], [['1', 1], '0.001125', '0.001125', '0.002396', '0.002396', '__init__.py:15(&lt;module&gt;)'], [['1', 1], '5e-06', '5e-06', '5e-06', '5e-06', 'controlflow.py:56(CFGraph)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'model.py:67(VoidType)'], [['1', 1], '0.004155', '0.004155', '0.01521', '0.01521', '__init__.py:1(&lt;module&gt;)'], [['23', 23], '0.000112', '4.87e-06', '0.00056', '2.435e-05', 'operatorimpl.py:18(map_operator)'], [['1', 1], '0', '0', '0', '0', 'core.py:6026(__array_finalize__)'], [['29', 29], '2.9e-05', '1e-06', '5e-05', '1.724e-06', 'types.py:103(__init__)'], [['1', 1], '0', '0', '0', '0', 'ffiplatform.py:4(VerificationError)'], [['1', 1], '0', '0', '0', '0', 'arraydecl.py:404(NestedArrayAttribute)'], [['1', 1], '4e-06', '4e-06', '4e-06', '4e-06', 'driver.py:1172(Linker)'], [['1', 1], '5.9e-05', '5.9e-05', '0.000165', '0.000165', 'driver.py:329(_build_reverse_device_attrs)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'shutil.py:31(Error)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'arrayobj.py:819(FancyIndexer)'], [['11', 11], '2.4e-05', '2.182e-06', '2.5e-05', '2.273e-06', '__init__.py:148(getLevelName)'], [['1', 1], '0.000203', '0.000203', '0.000813', '0.000813', '__init__.py:1(&lt;module&gt;)'], [['9', 9], '5.5e-05', '6.111e-06', '5.7e-05', '6.333e-06', 'core.py:2510(getdoc)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'drvapi.py:4(&lt;module&gt;)'], [['6/3', 6], '1.4e-05', '4.667e-06', '5.7e-05', '1.9e-05', 'contextlib.py:21(__exit__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'intrinsics.py:9(_DivmodFixer)'], [['28852', 28852], '0.02688', '9.317e-07', '0.1288', '4.465e-06', 'geometry.py:130(__getattr__)'], [['1', 1], '0', '0', '0', '0', 'six.py:137(MovedAttribute)'], [['3', 3], '7e-06', '2.333e-06', '3.6e-05', '1.2e-05', 'types.py:156(__str__)'], [['1', 1], '6e-06', '6e-06', '6e-06', '6e-06', '&lt;string&gt;:1(FunctionAttributes)'], [['1', 1], '0', '0', '1e-06', '1e-06', 'string.py:222(lower)'], [['46', 46], '5e-05', '1.087e-06', '8.4e-05', '1.826e-06', 'atomicops.py:228(_extract_basic_blocks)'], [['1', 1], '2.6e-05', '2.6e-05', '3e-05', '3e-05', 'threadlocal.py:4(&lt;module&gt;)'], [['1', 1], '3e-06', '3e-06', '5e-06', '5e-06', 'tokenize.py:46(any)'], [['8', 8], '9e-06', '1.125e-06', '9e-06', '1.125e-06', 'templates.py:535(__init__)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'parallel.py:365(_ProtectEngineDestroy)'], [['1', 1], '1e-06', '1e-06', '1e-06', '1e-06', 'npydecl.py:767(Round)']];
$(document).ready(function() {
$('#pstats-table').dataTable({
'data': table_data,
'columns': [
{'title': 'ncalls', 'type': 'num', 'searchable': 'false',
'data': {
'_': function (row) {return row[0][0];},
'sort': function (row) {return row[0][1]}
}},
{'title': 'tottime', 'type': 'num', 'searchable': 'false'},
{'title': 'percall', 'type': 'num', 'searchable': 'false'},
{'title': 'cumtime', 'type': 'num', 'searchable': 'false'},
{'title': 'percall', 'type': 'num', 'searchable': 'false'},
{'title': 'filename:lineno(function)'}
],
'order': [1, 'desc'],
'paging': false
});
});
</script>
<!-- Web worker code for generating D3 JSON in a separate thread -->
<script id="heirarchy-worker" type="javascript/worker">
// This will all go into a web worker that will be used to generate
// the visualization JSON while leaving the rest of the app responsive.
//
// We put this here instead of in a separate JS file so that the worker
// can be stopped and restarted without loading the code from the server,
// and so that the stats data can be embedded in the worker.
var stats = {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:1(<module>)': {'display_name': 'compiler.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/decorators.py:1(<module>)': [1, 1, 0.0040279999999999995, 0.134465]}, 'stats': [1, 1, 0.0040279999999999995, 0.134465], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [4, 4, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:197(CUDAKernelBase)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:66(DeviceFunctionTemplate)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:153(DeviceFunction)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:158(ExternFunction)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [4, 4, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:325(CUDAKernel)': [1, 1, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:12(<module>)': [1, 1, 0.001679, 0.12753399999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:176(ForAll)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:252(CachedPTX)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devicearray.py:5(<module>)': [1, 1, 0.001823, 0.002689], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/autotune.py:4(<module>)': [1, 1, 6.4e-05, 7.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:548(AutoJitCUDAKernel)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:281(CachedCUFunction)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/api.py:3(<module>)': [1, 1, 3.1e-05, 0.00011899999999999999]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:178(descr)': {'display_name': 'instructions.py:178(descr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:148(__str__)': [9, 9, 1.6e-05, 0.00010499999999999999]}, 'stats': [9, 9, 1.6e-05, 0.00010499999999999999], 'children': {"~:0(<method 'format' of 'str' objects>)": [5, 5, 9e-06, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:171(return_value)': [9, 9, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:174(get_reference)': [5, 5, 6e-06, 1.4999999999999999e-05], '~:0(<print>)': [9, 9, 1.3e-05, 5.3e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:630(EndOfBlock)': {'display_name': 'inspect.py:630(EndOfBlock)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:25(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:71(slp_vectorize)': {'display_name': 'transforms.py:71(slp_vectorize)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/passes.py:33(create_pass_manager_builder)': [2, 2, 4e-06, 4e-06]}, 'stats': [2, 2, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy_reg.py:27(constructor)': {'display_name': 'copy_reg.py:27(constructor)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/copy_reg.py:14(pickle)': [1, 1, 0.0, 1e-06]}, 'stats': [1, 1, 0.0, 1e-06], 'children': {'~:0(<hasattr>)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:152(MappingProxyType)': {'display_name': 'singledispatch_helpers.py:152(MappingProxyType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:4(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:367(Module_six_moves_urllib_request)': {'display_name': 'six.py:367(Module_six_moves_urllib_request)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:144(EnumMeta)': {'display_name': '__init__.py:144(EnumMeta)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:1(<module>)': [1, 1, 8e-06, 8e-06]}, 'stats': [1, 1, 8e-06, 8e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:157(get_or_insert_function)': {'display_name': 'core.py:157(get_or_insert_function)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:43(_define_nrt_incref)': [1, 1, 0.0, 5.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:29(_define_nrt_meminfo_data)': [1, 1, 2e-06, 4.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:62(_define_nrt_decref)': [1, 1, 1e-06, 5.4999999999999995e-05]}, 'stats': [3, 3, 3e-06, 0.000157], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:375(__init__)': [3, 3, 2.9e-05, 0.000154]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/npy_pkg_config.py:1(<module>)': {'display_name': 'npy_pkg_config.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/__init__.py:1(<module>)': [1, 1, 0.000117, 0.00037999999999999997]}, 'stats': [1, 1, 0.000117, 0.00037999999999999997], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/npy_pkg_config.py:17(FormatError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/npy_pkg_config.py:28(PkgNotFound)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/npy_pkg_config.py:81(LibraryInfo)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/npy_pkg_config.py:154(VariableSet)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [1, 1, 2e-06, 0.000258]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:419(_create_empty_module)': {'display_name': 'codegen.py:419(_create_empty_module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:391(__init__)': [1, 1, 7e-06, 8.599999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:39(__init__)': [7, 7, 3.7999999999999995e-05, 0.00041299999999999996], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:124(create_ir_module)': [1, 1, 3e-06, 3.4e-05]}, 'stats': [9, 9, 4.8e-05, 0.0005329999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:76(__del__)': [9, 9, 1.1e-05, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:153(new)': [9, 9, 1.7e-05, 0.000107], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:13(get_default_triple)': [9, 9, 0.000285, 0.000363]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:114(_ArrayHelper)': {'display_name': 'npyimpl.py:114(_ArrayHelper)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/compat/_inspect.py:145(<lambda>)': {'display_name': '_inspect.py:145(<lambda>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/compat/_inspect.py:142(formatargspec)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:370(_ufunc_db_function)': {'display_name': 'npyimpl.py:370(_ufunc_db_function)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:3(<module>)': [76, 76, 0.000816, 0.0008659999999999999]}, 'stats': [76, 76, 0.000816, 0.0008659999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:392(_KernelImpl)': [76, 76, 4.9999999999999996e-05, 4.9999999999999996e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:102(<genexpr>)': {'display_name': 'instructions.py:102(<genexpr>)', 'callers': {"~:0(<method 'join' of 'str' objects>)": [6, 6, 6e-06, 2.7e-05]}, 'stats': [6, 6, 6e-06, 2.7e-05], 'children': {"~:0(<method 'format' of 'str' objects>)": [3, 3, 4e-06, 1.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:174(get_reference)': [3, 3, 2e-06, 7e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:242(__init__)': {'display_name': 'index_tricks.py:242(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:447(__init__)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:470(__init__)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:1359(__init__)': [1, 1, 4e-06, 4e-06]}, 'stats': [3, 3, 8e-06, 8e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:691(TernaryOp)': {'display_name': 'c_ast.py:691(TernaryOp)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:645(Struct)': {'display_name': 'c_ast.py:645(Struct)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:69(Complex)': {'display_name': 'types.py:69(Complex)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/intrinsics.py:9(_DivmodFixer)': {'display_name': 'intrinsics.py:9(_DivmodFixer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/intrinsics.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:197(Builder)': {'display_name': 'core.py:197(Builder)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:604(Max)': {'display_name': 'builtins.py:604(Max)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:950(NTEventLogHandler)': {'display_name': 'handlers.py:950(NTEventLogHandler)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:24(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/lex.py:114(Lexer)': {'display_name': 'lex.py:114(Lexer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/lex.py:34(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ast.py:254(NodeTransformer)': {'display_name': 'ast.py:254(NodeTransformer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ast.py:27(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/config.py:21(NumbaWarning)': {'display_name': 'config.py:21(NumbaWarning)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/config.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:637(_check_validity)': {'display_name': 'geometry.py:637(_check_validity)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:611(__init__)': [1, 1, 4e-06, 7e-06]}, 'stats': [1, 1, 4e-06, 7e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:574(upper)': [2, 2, 1e-06, 1e-06], '~:0(<isinstance>)': [3, 3, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:565(lower)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:583(num_cells)': [2, 2, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/__init__.py:4(<module>)': {'display_name': '__init__.py:4(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/__init__.py:3(<module>)': [1, 1, 0.001204, 0.031754]}, 'stats': [1, 1, 0.001204, 0.031754], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/cc.py:1(<module>)': [1, 1, 0.00257, 0.03027], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/decorators.py:1(<module>)': [1, 1, 1.4999999999999999e-05, 0.000277], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:89(verify)': {'display_name': 'module.py:89(verify)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/linker.py:6(link_modules)': [2, 2, 3.6e-05, 4.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:157(finalize)': [1, 1, 3.7e-05, 4.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:140(add_ir_module)': [1, 1, 0.001276, 0.001295]}, 'stats': [4, 4, 0.001349, 0.001383], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:70(__enter__)': [4, 4, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:58(__init__)': [4, 4, 8e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:73(__exit__)': [4, 4, 1.1e-05, 2.4999999999999998e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/ufuncbuilder.py:33(UFuncDispatcher)': {'display_name': 'ufuncbuilder.py:33(UFuncDispatcher)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/ufuncbuilder.py:2(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:552(EntireIndexer)': {'display_name': 'arrayobj.py:552(EntireIndexer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:4(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:590(register_number_classes)': {'display_name': 'builtins.py:590(register_number_classes)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 2.4999999999999998e-05, 0.000184]}, 'stats': [1, 1, 2.4999999999999998e-05, 0.000184], 'children': {"~:0(<method 'add' of 'set' objects>)": [1, 1, 1e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [13, 13, 2.4e-05, 0.000146], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:550(register_global)': [13, 13, 8e-06, 9.999999999999999e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/_iotools.py:154(LineSplitter)': {'display_name': '_iotools.py:154(LineSplitter)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/_iotools.py:3(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:547(FunctionCache)': {'display_name': 'dispatcher.py:547(FunctionCache)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:3(<module>)': [1, 1, 7e-06, 1.8999999999999998e-05]}, 'stats': [1, 1, 7e-06, 1.8999999999999998e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:54(contextmanager)': [1, 1, 2e-06, 1.2e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/StringIO.py:42(StringIO)': {'display_name': 'StringIO.py:42(StringIO)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/StringIO.py:30(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/StringIO.py:208(write)': {'display_name': 'StringIO.py:208(write)', 'callers': {'~:0(<print>)': [294, 294, 0.00037999999999999997, 0.0005769999999999999]}, 'stats': [294, 294, 0.00037999999999999997, 0.0005769999999999999], 'children': {"~:0(<method 'append' of 'list' objects>)": [253, 253, 4.2999999999999995e-05, 4.2999999999999995e-05], '~:0(<isinstance>)': [253, 253, 7.099999999999999e-05, 7.099999999999999e-05], '~:0(<len>)': [253, 253, 3.2999999999999996e-05, 3.2999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/StringIO.py:38(_complain_ifclosed)': [294, 294, 4.9999999999999996e-05, 4.9999999999999996e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:56(__init__)': {'display_name': 'typeconv.py:56(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/rules.py:16(_init_casting_rules)': [1, 1, 3e-06, 9.999999999999999e-06]}, 'stats': [1, 1, 3e-06, 9.999999999999999e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:79(__init__)': [1, 1, 7e-06, 7e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ast.py:27(<module>)': {'display_name': 'ast.py:27(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/array_exprs.py:1(<module>)': [1, 1, 0.000103, 0.00010499999999999999]}, 'stats': [1, 1, 0.000103, 0.00010499999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ast.py:217(NodeVisitor)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ast.py:254(NodeTransformer)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:336(CmpOpNe)': {'display_name': 'builtins.py:336(CmpOpNe)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:95(finalize_object)': {'display_name': 'executionengine.py:95(finalize_object)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:385(_finalize_specific)': [7, 7, 0.044501, 0.045330999999999996]}, 'stats': [7, 7, 0.044501, 0.045330999999999996], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:170(_raw_object_cache_getbuffer)': [8, 8, 0.00036899999999999997, 0.000767], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:152(_raw_object_cache_notify)': [2, 2, 2.2e-05, 6.3e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:301(StructOrUnion)': {'display_name': 'model.py:301(StructOrUnion)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '<string>:1(FunctionAttributes)': {'display_name': '<string>:1(FunctionAttributes)', 'callers': {'<string>:1(<module>)': [1, 1, 6e-06, 6e-06]}, 'stats': [1, 1, 6e-06, 6e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/mathimpl.py:100(_unary_int_input_wrapper_impl)': {'display_name': 'mathimpl.py:100(_unary_int_input_wrapper_impl)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/mathimpl.py:117(unary_math_int_impl)': [27, 27, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/mathimpl.py:148(unary_math_extern)': [4, 4, 2e-06, 2e-06]}, 'stats': [31, 31, 9e-06, 9e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:461(_function_pass_manager)': {'display_name': 'codegen.py:461(_function_pass_manager)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:69(_optimize_functions)': [1, 1, 9.999999999999999e-06, 0.00013099999999999999]}, 'stats': [1, 1, 9.999999999999999e-06, 0.00013099999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:249(add_pass)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:470(_pass_manager_builder)': [1, 1, 3e-06, 2.4999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:81(populate)': [1, 1, 3e-06, 4.4999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:10(create_function_pass_manager)': [1, 1, 4.9999999999999996e-06, 1.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:92(add_pass)': [1, 1, 9e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:151(__exit__)': [1, 1, 1e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:145(__enter__)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:178(add_analysis_passes)': [1, 1, 1.1e-05, 1.1e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:158(TimedeltaCmpLE)': {'display_name': 'npdatetime.py:158(TimedeltaCmpLE)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '~:0(<range>)': {'display_name': '~:0(<range>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:3(<module>)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:599(unpack_tuple)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:5(<module>)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numerictypes.py:82(<module>)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/matrixlib/defmatrix.py:1(<module>)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:256(_optimize_charset)': [116, 116, 8.499999999999999e-05, 8.499999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/compat/_inspect.py:142(formatargspec)': [31, 31, 1.3e-05, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/encoder.py:2(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:411(_mk_bitmap)': [58, 58, 4.2999999999999995e-05, 4.2999999999999995e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy.py:234(_deepcopy_tuple)': [88, 88, 4.9e-05, 4.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:744(getargs)': [133, 133, 7.4e-05, 7.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/compat/_inspect.py:67(getargs)': [31, 31, 1.4e-05, 1.4e-05]}, 'stats': [463, 463, 0.00029, 0.00029], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:666(NumpyNdIndexType)': {'display_name': 'types.py:666(NumpyNdIndexType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:74(Inst)': {'display_name': 'ir.py:74(Inst)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/manager.py:1(<module>)': {'display_name': 'manager.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/__init__.py:1(<module>)': [1, 1, 3.2e-05, 3.6e-05]}, 'stats': [1, 1, 3.2e-05, 3.6e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/manager.py:6(DataModelManager)': [1, 1, 4e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:187(release)': {'display_name': 'threading.py:187(release)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:702(release)': [44, 44, 9.999999999999999e-05, 0.000144], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:214(_releaseLock)': [30, 30, 4.4999999999999996e-05, 7e-05]}, 'stats': [74, 74, 0.000145, 0.000214], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:64(_note)': [74, 74, 4.2e-05, 4.2e-05], '~:0(<thread.get_ident>)': [74, 74, 9.999999999999999e-06, 9.999999999999999e-06], "~:0(<method 'release' of 'thread.lock' objects>)": [30, 30, 1.7e-05, 1.7e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:613(ForkingUDPServer)': {'display_name': 'SocketServer.py:613(ForkingUDPServer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:120(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:3(<module>)': {'display_name': 'npyimpl.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:1(<module>)': [1, 1, 0.000278, 0.004419]}, 'stats': [1, 1, 0.000278, 0.004419], 'children': {"~:0(<method 'items' of 'dict' objects>)": [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:18(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:87(_ArrayIndexingHelper)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:343(_Kernel)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:114(_ArrayHelper)': [1, 1, 1e-06, 1e-06], '~:0(<getattr>)': [21, 21, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:425(register_unary_ufunc_kernel)': [42, 42, 0.00019999999999999998, 0.000263], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:469(register_binary_operator_kernel)': [19, 19, 0.000106, 0.00016999999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:443(register_binary_ufunc_kernel)': [34, 34, 9.9e-05, 0.000154], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:370(_ufunc_db_function)': [76, 76, 0.000816, 0.0008659999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [2, 2, 9e-06, 4.4999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/ufunc_db.py:26(get_ufuncs)': [1, 1, 2e-06, 0.001591], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:293(namedtuple)': [2, 2, 0.0008129999999999999, 0.0010199999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:24(lower)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:155(__call__)': [1, 1, 8e-06, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:46(_ScalarHelper)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:38(_ScalarIndexingHelper)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:33(decorate)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:461(register_unary_operator_kernel)': [2, 2, 2e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:193(c_uint)': {'display_name': '__init__.py:193(c_uint)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:4(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:191(load_module)': {'display_name': 'six.py:191(load_module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/slicing.py:3(<module>)': [1, 1, 8e-06, 9.999999999999999e-06]}, 'stats': [1, 1, 8e-06, 9.999999999999999e-06], 'children': {'~:0(<isinstance>)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:185(__get_module)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ast.py:217(NodeVisitor)': {'display_name': 'ast.py:217(NodeVisitor)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ast.py:27(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6184(_minimum_operation)': {'display_name': 'core.py:6184(_minimum_operation)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:21(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:472(FreeVar)': {'display_name': 'ir.py:472(FreeVar)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:369(TupleLe)': {'display_name': 'builtins.py:369(TupleLe)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:970(ArrayFlags)': {'display_name': 'types.py:970(ArrayFlags)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:56(add_global)': {'display_name': 'module.py:56(add_global)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:375(__init__)': [8, 8, 1.8999999999999998e-05, 2.4e-05]}, 'stats': [8, 8, 1.8999999999999998e-05, 2.4e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:162(name)': [24, 24, 4e-06, 4e-06], "~:0(<method 'append' of 'list' objects>)": [8, 8, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:679(Dot)': {'display_name': 'npydecl.py:679(Dot)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:26(__init__)': {'display_name': 'templates.py:26(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [181, 181, 7.599999999999999e-05, 7.599999999999999e-05]}, 'stats': [181, 181, 7.599999999999999e-05, 7.599999999999999e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:175(<lambda>)': {'display_name': '__init__.py:175(<lambda>)', 'callers': {'~:0(<sorted>)': [54, 54, 9e-06, 9e-06]}, 'stats': [54, 54, 9e-06, 9e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:375(__getattr__)': {'display_name': '__init__.py:375(__getattr__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:63(close)': [1, 1, 3e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/initfini.py:21(initialize_native_asmprinter)': [1, 1, 3e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/value.py:2(<module>)': [13, 13, 2.2e-05, 8.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/initfini.py:1(<module>)': [1, 1, 2e-06, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:1(<module>)': [28, 28, 2.9e-05, 0.00013099999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:1(<module>)': [15, 15, 1.8999999999999998e-05, 7.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/options.py:1(<module>)': [1, 1, 3e-06, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:1(<module>)': [7, 7, 7e-06, 4.4999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/linker.py:1(<module>)': [1, 1, 3e-06, 1.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:1(<module>)': [17, 17, 1.2e-05, 8.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:1(<module>)': [22, 22, 2.4999999999999998e-05, 0.000117], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/initfini.py:13(initialize_native_target)': [1, 1, 4e-06, 2.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/dylib.py:1(<module>)': [3, 3, 7e-06, 3.7999999999999995e-05]}, 'stats': [111, 111, 0.000139, 0.000652], 'children': {'~:0(<setattr>)': [111, 111, 1.8e-05, 1.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:382(__getitem__)': [111, 111, 0.000412, 0.00045999999999999996], "~:0(<method 'startswith' of 'str' objects>)": [111, 111, 3.5e-05, 3.5e-05]}}, '<string>:1(FuncAttr)': {'display_name': '<string>:1(FuncAttr)', 'callers': {'<string>:1(<module>)': [1, 1, 1.2e-05, 1.2e-05]}, 'stats': [1, 1, 1.2e-05, 1.2e-05], 'children': {}}, "~:0(<method 'split' of 'str' objects>)": {'display_name': "~:0(<method 'split' of 'str' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:160(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/numpy_support.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/nvvm.py:3(<module>)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:140(_install_handlers)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:568(__init__)': [16, 16, 1.3e-05, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:329(normpath)': [5, 5, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/__init__.py:3(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:183(_install_loggers)': [8, 8, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:111(_create_formatters)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/intrinsics.py:3(<module>)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:4(<module>)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:464(_read)': [84, 84, 4.2999999999999995e-05, 4.2999999999999995e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:134(get_executable)': [6, 6, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:128(_supports_universal_builds)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/__init__.py:49(normalize_encoding)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:293(namedtuple)': [8, 8, 9e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:429(get_platform_osx)': [1, 1, 1e-06, 1e-06]}, 'stats': [140, 140, 0.000107, 0.000107], 'children': {}}, '~:0(<next>)': {'display_name': '~:0(<next>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:12(<lambda>)': [4, 4, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:12(<lambda>)': [24, 24, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:22(_autoincr)': [343, 343, 8.599999999999999e-05, 8.599999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:506(__init__)': [16, 16, 7e-06, 7e-06]}, 'stats': [387, 387, 9.6e-05, 9.6e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:459(Len)': {'display_name': 'builtins.py:459(Len)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:177(VarArg)': {'display_name': 'types.py:177(VarArg)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/weakref.py:329(__getitem__)': {'display_name': 'weakref.py:329(__getitem__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch.py:173(dispatch)': [40, 40, 3.7e-05, 3.7e-05]}, 'stats': [40, 40, 3.7e-05, 3.7e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/linker.py:6(link_modules)': {'display_name': 'linker.py:6(link_modules)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:140(link_in)': [1, 1, 0.00039999999999999996, 0.000453]}, 'stats': [1, 1, 0.00039999999999999996, 0.000453], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:76(__del__)': [2, 2, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:70(__enter__)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:89(verify)': [2, 2, 3.6e-05, 4.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:58(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:73(__exit__)': [1, 1, 1e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:215(__init__)': {'display_name': 'utils.py:215(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:35(__init__)': [8, 8, 1.4e-05, 1.8999999999999998e-05]}, 'stats': [8, 8, 1.4e-05, 1.8999999999999998e-05], 'children': {'~:0(<thread.allocate_lock>)': [8, 8, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/dylib.py:16(add_symbol)': {'display_name': 'dylib.py:16(add_symbol)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:420(_init)': [3, 3, 1.8999999999999998e-05, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/nrt.py:18(initialize)': [11, 11, 1.9999999999999998e-05, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:97(_load_global_helpers)': [152, 152, 0.000317, 0.00037299999999999996], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/externals.py:163(_do_install)': [78, 78, 0.000126, 0.00015099999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/externals.py:125(_do_install)': [58, 58, 0.00010999999999999999, 0.000128]}, 'stats': [302, 302, 0.000592, 0.0006979999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/common.py:7(_encode_string)': [302, 302, 8.599999999999999e-05, 0.000106]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:448(unserialize_library)': {'display_name': 'codegen.py:448(unserialize_library)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/compiler.py:80(_rebuild)': [6, 6, 9e-06, 0.01031]}, 'stats': [6, 6, 9e-06, 0.01031], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:327(_unserialize)': [6, 6, 4.9e-05, 0.010301]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:207(Parameter)': {'display_name': '__init__.py:207(Parameter)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:6(<module>)': [1, 1, 4e-06, 4e-06]}, 'stats': [1, 1, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:895(__init__)': {'display_name': 'types.py:895(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [23, 23, 0.000126, 0.0006879999999999999]}, 'stats': [23, 23, 0.000126, 0.0006879999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:809(__init__)': [23, 23, 7.2e-05, 0.00037799999999999997], '~:0(<isinstance>)': [23, 23, 1.8e-05, 0.000178], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:96(__repr__)': [23, 23, 6e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/difflib.py:27(<module>)': {'display_name': 'difflib.py:27(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:1(<module>)': [1, 1, 5.3e-05, 0.000995]}, 'stats': [1, 1, 5.3e-05, 0.000995], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/difflib.py:764(Differ)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/difflib.py:1670(HtmlDiff)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/difflib.py:44(SequenceMatcher)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:293(namedtuple)': [1, 1, 0.00056, 0.000661], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [1, 1, 3e-06, 0.00027299999999999997]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:475(ndenumerate)': {'display_name': 'index_tricks.py:475(ndenumerate)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:1(<module>)': [1, 1, 4e-06, 4e-06]}, 'stats': [1, 1, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/getlimits.py:25(finfo)': {'display_name': 'getlimits.py:25(finfo)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/getlimits.py:3(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:11(PassManagerBuilder)': {'display_name': 'transforms.py:11(PassManagerBuilder)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:1(<module>)': [1, 1, 1.1e-05, 1.4e-05]}, 'stats': [1, 1, 1.1e-05, 1.4e-05], 'children': {"~:0(<method 'setter' of 'property' objects>)": [7, 7, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:353(TupleEq)': {'display_name': 'builtins.py:353(TupleEq)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/__init__.py:71(ProcessError)': {'display_name': '__init__.py:71(ProcessError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/__init__.py:44(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:104(_stringify_metadata)': {'display_name': 'module.py:104(_stringify_metadata)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:114(__repr__)': [10, 10, 2.6e-05, 8.599999999999999e-05]}, 'stats': [10, 10, 2.6e-05, 8.599999999999999e-05], 'children': {"~:0(<method 'items' of 'dict' objects>)": [10, 10, 3e-06, 3e-06], "~:0(<method 'append' of 'list' objects>)": [1, 1, 1e-06, 1e-06], "~:0(<method 'join' of 'str' objects>)": [10, 10, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:148(__str__)': [1, 1, 6e-06, 5.4999999999999995e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:784(CharSeq)': {'display_name': 'models.py:784(CharSeq)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:315(new_array)': {'display_name': 'state.py:315(new_array)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:123(__init__)': [46, 46, 0.000203, 0.000385]}, 'stats': [46, 46, 0.000203, 0.000385], 'children': {'~:0(<numpy.core.multiarray.empty>)': [23, 23, 6.3e-05, 6.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:94(grid)': [23, 23, 3e-06, 3e-06], "~:0(<method 'extend' of 'list' objects>)": [23, 23, 2.6e-05, 2.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:130(__getattr__)': [23, 23, 1.9999999999999998e-05, 8.999999999999999e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/_import_tools.py:1(<module>)': {'display_name': '_import_tools.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/__init__.py:106(<module>)': [1, 1, 4.4999999999999996e-05, 5.2e-05]}, 'stats': [1, 1, 4.4999999999999996e-05, 5.2e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/_import_tools.py:341(PackageLoaderDebug)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/_import_tools.py:9(PackageLoader)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/UserDict.py:91(get)': [1, 1, 2e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_parser.py:19(CParser)': {'display_name': 'c_parser.py:19(CParser)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_parser.py:9(<module>)': [1, 1, 0.0006619999999999999, 0.0006619999999999999]}, 'stats': [1, 1, 0.0006619999999999999, 0.0006619999999999999], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:1(<module>)': {'display_name': 'abstracttypes.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 0.000108, 0.000562]}, 'stats': [1, 1, 0.000108, 0.000562], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:296(MutableSequence)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:782(add_metaclass)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:86(__new__)': [9, 9, 7.4e-05, 0.000357], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:303(ArrayCompatible)': [1, 1, 9e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:255(IterableType)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:242(DTypeSpec)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:204(Number)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:223(Callable)': [1, 1, 2e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:34(_TypeMetaclass)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:74(Type)': [1, 1, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:784(wrapper)': [1, 1, 9.999999999999999e-06, 6.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:267(IteratorType)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:289(Sequence)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:197(Dummy)': [1, 1, 0.0, 0.0]}}, '~:0(<_sre.compile>)': {'display_name': '~:0(<_sre.compile>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:567(compile)': [87, 87, 0.000179, 0.000179]}, 'stats': [87, 87, 0.000179, 0.000179], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/weakref.py:105(__setitem__)': {'display_name': 'weakref.py:105(__setitem__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:518(global_cache)': [5, 5, 1.1e-05, 2.4999999999999998e-05]}, 'stats': [5, 5, 1.1e-05, 2.4999999999999998e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/weakref.py:282(__init__)': [5, 5, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/weakref.py:277(__new__)': [5, 5, 3e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/shallow_1D_py.py:30(<module>)': {'display_name': 'shallow_1D_py.py:30(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 4e-06, 4e-06]}, 'stats': [1, 1, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/fancy_getopt.py:476(OptionDummy)': {'display_name': 'fancy_getopt.py:476(OptionDummy)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/fancy_getopt.py:9(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/legendre.py:83(<module>)': {'display_name': 'legendre.py:83(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/__init__.py:15(<module>)': [1, 1, 7.8e-05, 0.000155]}, 'stats': [1, 1, 7.8e-05, 0.000155], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:86(__new__)': [1, 1, 1.9999999999999998e-05, 6.4e-05], '~:0(<numpy.core.multiarray.array>)': [4, 4, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/legendre.py:1769(Legendre)': [1, 1, 6e-06, 7e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:307(DeclList)': {'display_name': 'c_ast.py:307(DeclList)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '<string>:1(_UnboxContext)': {'display_name': '<string>:1(_UnboxContext)', 'callers': {'<string>:1(<module>)': [1, 1, 7e-06, 7e-06]}, 'stats': [1, 1, 7e-06, 7e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:17(NotDefinedError)': {'display_name': 'errors.py:17(NotDefinedError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:2(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '~:0(<issubclass>)': {'display_name': '~:0(<issubclass>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:150(__new__)': [8, 8, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:2(<module>)': [13, 13, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy.py:145(deepcopy)': [274, 274, 0.000107, 0.000107], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numerictypes.py:82(<module>)': [24, 24, 1.3e-05, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:545(register_attr)': [17, 17, 9e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:97(_load_global_helpers)': [76, 76, 2.2e-05, 2.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:472(_get_mixins_)': [39, 39, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/registry.py:48(do_register)': [4, 4, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:540(register)': [135, 135, 5.8e-05, 5.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/warnings.py:67(filterwarnings)': [5, 5, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pythonapi.py:23(register)': [2, 2, 3e-06, 2.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:148(__subclasscheck__)': [54, 36, 4.1e-05, 0.000368], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:140(_install_handlers)': [3, 3, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:105(register)': [30, 30, 2.2e-05, 0.000397], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:1(<module>)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/manager.py:16(register)': [68, 68, 7.599999999999999e-05, 0.000682]}, 'stats': [718, 754, 0.000372, 0.001612], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:148(__subclasscheck__)': [111, 102, 0.000581, 0.001261]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:225(PowerBuiltin)': {'display_name': 'builtins.py:225(PowerBuiltin)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:1(<module>)': {'display_name': 'mathdecl.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/context.py:1(<module>)': [1, 1, 0.000269, 0.001562]}, 'stats': [1, 1, 0.000269, 0.001562], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:589(decorator)': [36, 36, 1.8e-05, 0.001055], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:535(__init__)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:80(Math_copysign)': [1, 1, 1e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:114(Math_pow)': [1, 1, 3e-06, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:43(Math_atan2)': [1, 1, 2e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:98(Math_predicate)': [1, 1, 2e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:53(Math_expm1)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:10(Math_unary)': [1, 1, 6e-06, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 9.999999999999999e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:123(Math_frexp)': [1, 1, 4e-06, 7.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:57(Math_converter)': [1, 1, 4e-06, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:550(register_global)': [36, 36, 7.099999999999999e-05, 8.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:130(Math_ldexp)': [1, 1, 2e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:74(Math_floor_ceil)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:88(Math_hypot)': [1, 1, 3e-06, 8e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:86(LabelType)': {'display_name': 'types.py:86(LabelType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:327(_unserialize)': {'display_name': 'codegen.py:327(_unserialize)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:448(unserialize_library)': [6, 6, 4.9e-05, 0.010301]}, 'stats': [6, 6, 4.9e-05, 0.010301], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:441(create_library)': [6, 6, 1.2e-05, 0.000877], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:76(__del__)': [6, 6, 7e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:248(_set_compiled_object)': [6, 6, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:26(parse_bitcode)': [6, 6, 0.007659999999999999, 0.007724999999999999], '~:0(<isinstance>)': [6, 6, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:236(enable_object_caching)': [6, 6, 1.3e-05, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:183(_finalize_final_module)': [6, 6, 4.2999999999999995e-05, 0.0016209999999999998]}}, '~:0(<getattr>)': {'display_name': '~:0(<getattr>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:150(__new__)': [131, 131, 4.2999999999999995e-05, 4.2999999999999995e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:139(_build_reverse_error_map)': [46, 46, 1.6e-05, 1.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:189(__init__)': [6, 6, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:89(<genexpr>)': [697, 697, 0.00043099999999999996, 0.00043099999999999996], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:568(__init__)': [8, 8, 9e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/operatordecl.py:3(<module>)': [36, 36, 1.4999999999999999e-05, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:157(_resolve)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:3(<module>)': [21, 21, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:938(__init__)': [36, 36, 3.5e-05, 3.5e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:128(__instancecheck__)': [110, 110, 2.7e-05, 2.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/operatorimpl.py:18(map_operator)': [36, 36, 1.7e-05, 1.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/bytecode.py:30(get_code_object)': [16, 16, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:7714(getdoc)': [8, 8, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:145(dimensions)': [33658, 33658, 0.006441, 0.006441], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/api.py:48(__init__)': [6, 6, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:360(_numpy_redirect)': [13, 13, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:95(_define_atomic_inc_dec)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:235(getdoc)': [18, 18, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/functools.py:17(update_wrapper)': [525, 525, 0.000148, 0.000148], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6267(getdoc)': [46, 46, 1.4999999999999999e-05, 1.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:221(_get_base_state_attribute)': [28854, 28854, 0.007525, 0.009101], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:518(global_cache)': [5, 5, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:375(<genexpr>)': [12, 12, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:86(__new__)': [515, 515, 0.000163, 0.000163], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:1(<module>)': [160, 160, 1.7e-05, 1.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:736(__deepcopy__)': [88, 88, 3.2999999999999996e-05, 3.2999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:860(__init__)': [54, 54, 5.1e-05, 5.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:682(dimensions)': [88, 88, 1.6e-05, 1.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:258(get_dim_attribute)': [28853, 28853, 0.011819999999999999, 0.039608], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:2510(getdoc)': [9, 9, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:148(__subclasscheck__)': [116, 116, 3.2999999999999996e-05, 3.2999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:32(decorating_function)': [4, 4, 1.2e-05, 1.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:7709(__init__)': [8, 8, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:45(<module>)': [16, 16, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:50(_get_items)': [19, 19, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/subprocess.py:387(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/function_base.py:3511(add_newdoc)': [472, 472, 0.000117, 0.000117], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:512(from_function)': [24, 24, 1.9999999999999998e-05, 1.9999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:384(register_number_classes)': [13, 13, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/hashlib.py:100(__get_openssl_constructor)': [6, 6, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy.py:145(deepcopy)': [362, 362, 0.000168, 0.000168], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:304(_numpy_ufunc)': [79, 79, 2.7e-05, 2.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:430(total_ordering)': [18, 18, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:1096(__init__)': [12, 12, 1.1e-05, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:295(__deepcopy__)': [11, 11, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:358(extend_all)': [3, 3, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:206(set_all_states)': [4804, 4804, 0.00075, 0.00075], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/llvm_types.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:519(_find_new_)': [28, 28, 3.5e-05, 5.6999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:329(_build_reverse_device_attrs)': [15, 15, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:550(register_global)': [98, 98, 2.4999999999999998e-05, 2.4999999999999998e-05]}, 'stats': [100171, 100171, 0.028085, 0.057472999999999996], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:529(delta)': [4804, 4804, 0.011089, 0.013781999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:556(centers)': [1, 1, 0.004053, 0.011009], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:583(num_cells)': [14438, 14438, 0.0029969999999999997, 0.0029969999999999997], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:2517(__get__)': [4, 4, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:88(num_aux)': [4804, 4804, 0.001576, 0.001576], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:367(__getattr__)': [7, 7, 7e-06, 2.2e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:1(<module>)': {'display_name': 'case.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/__init__.py:45(<module>)': [1, 1, 0.001, 0.002038]}, 'stats': [1, 1, 0.001, 0.002038], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:131(TestCase)': [1, 1, 1.8e-05, 1.9999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/pprint.py:35(<module>)': [1, 1, 1.7e-05, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:98(_AssertRaisesContext)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/difflib.py:27(<module>)': [1, 1, 5.3e-05, 0.000995], '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:25(SkipTest)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:34(_ExpectedFailure)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:1018(FunctionTestCase)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:45(_UnexpectedSuccess)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:358(abspath)': {'display_name': 'posixpath.py:358(abspath)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/process.py:35(<module>)': [1, 1, 2e-06, 2.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/sysconfig.py:10(<module>)': [1, 1, 3e-06, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:887(__init__)': [1, 1, 4.9999999999999996e-06, 4.2999999999999995e-05]}, 'stats': [3, 3, 9.999999999999999e-06, 7.5e-05], 'children': {'~:0(<posix.getcwd>)': [1, 1, 2.1e-05, 2.1e-05], '~:0(<isinstance>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:52(isabs)': [3, 3, 4e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:329(normpath)': [3, 3, 1.9999999999999998e-05, 3.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:61(join)': [1, 1, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/bytecode.py:159(_as_opcodes)': {'display_name': 'bytecode.py:159(_as_opcodes)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/bytecode.py:4(<module>)': [1, 1, 2e-06, 3e-06]}, 'stats': [1, 1, 2e-06, 3e-06], 'children': {"~:0(<method 'get' of 'dict' objects>)": [2, 2, 0.0, 0.0], "~:0(<method 'append' of 'list' objects>)": [2, 2, 1e-06, 1e-06]}}, "~:0(<method '__reduce_ex__' of 'object' objects>)": {'display_name': "~:0(<method '__reduce_ex__' of 'object' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/copy.py:145(deepcopy)': [88, 88, 0.000576, 0.0005819999999999999]}, 'stats': [88, 88, 0.000576, 0.0005819999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/copy_reg.py:95(_slotnames)': [1, 1, 3e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:106(PairFirst)': {'display_name': 'builtins.py:106(PairFirst)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/compiler.py:201(_PipelineManager)': {'display_name': 'compiler.py:201(_PipelineManager)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/compiler.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:256(get_qbc_from_q)': {'display_name': 'state.py:256(get_qbc_from_q)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:357(_apply_bcs)': [4805, 4805, 0.013328999999999999, 0.016711]}, 'stats': [4805, 4805, 0.013328999999999999, 0.016711], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:678(num_dim)': [4805, 4805, 0.002239, 0.003382]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/polynomial.py:939(poly1d)': {'display_name': 'polynomial.py:939(poly1d)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/polynomial.py:4(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1(<module>)': {'display_name': 'threading.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/random/__init__.py:88(<module>)': [1, 1, 0.00019099999999999998, 0.000494]}, 'stats': [1, 1, 0.00019099999999999998, 0.000494], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1079(_MainThread)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:631(Thread)': [1, 1, 1.7e-05, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1049(_Timer)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:57(_Verbose)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/warnings.py:67(filterwarnings)': [1, 1, 8e-06, 0.00020999999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:255(_Condition)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1081(__init__)': [1, 1, 6e-06, 6.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:125(_RLock)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:515(_BoundedSemaphore)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1119(_DummyThread)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:552(_Event)': [1, 1, 1e-06, 1e-06], '~:0(<thread.allocate_lock>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:423(_Semaphore)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1135(UniTupleIter)': {'display_name': 'types.py:1135(UniTupleIter)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy_reg.py:14(pickle)': {'display_name': 'copy_reg.py:14(pickle)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/__init__.py:1(<module>)': [1, 1, 4.9999999999999996e-06, 6e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 6e-06], 'children': {'~:0(<hasattr>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy_reg.py:27(constructor)': [1, 1, 0.0, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:177(_checkLevel)': {'display_name': '__init__.py:177(_checkLevel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:657(__init__)': [3, 3, 2e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1119(__init__)': [10, 10, 9.999999999999999e-06, 1.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1131(setLevel)': [7, 7, 6e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:709(setLevel)': [4, 4, 4e-06, 4e-06]}, 'stats': [24, 24, 2.2e-05, 2.7e-05], 'children': {'~:0(<isinstance>)': [24, 24, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:70(__enter__)': {'display_name': 'ffi.py:70(__enter__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:26(parse_bitcode)': [6, 6, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/linker.py:6(link_modules)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:46(__str__)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:124(triple)': [7, 7, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:13(get_default_triple)': [11, 11, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:89(verify)': [4, 4, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:16(create_mcjit_compiler)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:58(__str__)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:11(parse_assembly)': [11, 11, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:117(from_triple)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:21(get_host_cpu_name)': [8, 8, 1e-06, 1e-06]}, 'stats': [54, 54, 8e-06, 8e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/warnings.py:361(__enter__)': {'display_name': 'warnings.py:361(__enter__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/random/__init__.py:88(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:386(CompositeModel)': {'display_name': 'models.py:386(CompositeModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:345(<lambda>)': {'display_name': 'compiler.py:345(<lambda>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:343(ModuleCompilerPy3)': [5, 5, 9.999999999999999e-06, 4.4e-05]}, 'stats': [5, 5, 9.999999999999999e-06, 4.4e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:74(pointer)': [5, 5, 7e-06, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:78(function)': [5, 5, 1.4e-05, 2.1e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:433(NdConstructorLike)': {'display_name': 'npydecl.py:433(NdConstructorLike)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/_datasource.py:154(DataSource)': {'display_name': '_datasource.py:154(DataSource)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/_datasource.py:35(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:260(_override_all_archs)': {'display_name': '_osx_support.py:260(_override_all_archs)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:368(customize_config_vars)': [1, 1, 1e-06, 2e-06]}, 'stats': [1, 1, 1e-06, 2e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/UserDict.py:103(__contains__)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:738(handle)': {'display_name': '__init__.py:738(handle)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1312(callHandlers)': [22, 22, 6.9e-05, 0.001565]}, 'stats': [22, 22, 6.9e-05, 0.001565], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:841(emit)': [11, 11, 2.9e-05, 0.000457], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:702(release)': [22, 22, 1.2e-05, 0.000112], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:940(emit)': [11, 11, 3.1e-05, 0.000784], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:599(filter)': [22, 22, 1.6e-05, 1.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:695(acquire)': [22, 22, 3.1e-05, 0.000127]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/vectorizers.py:15(CUDAVectorize)': {'display_name': 'vectorizers.py:15(CUDAVectorize)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/vectorizers.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:532(Iterator)': {'display_name': 'six.py:532(Iterator)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '<string>:1(_VarArgItem)': {'display_name': '<string>:1(_VarArgItem)', 'callers': {'<string>:1(<module>)': [1, 1, 6e-06, 6e-06]}, 'stats': [1, 1, 6e-06, 6e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npdatetime.py:523(_create_datetime_comparison_impl)': {'display_name': 'npdatetime.py:523(_create_datetime_comparison_impl)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npdatetime.py:3(<module>)': [6, 6, 0.0, 0.0]}, 'stats': [6, 6, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/shape_base.py:1(<module>)': {'display_name': 'shape_base.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/__init__.py:1(<module>)': [1, 1, 1.2e-05, 1.2e-05]}, 'stats': [1, 1, 1.2e-05, 1.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:830(flush)': {'display_name': '__init__.py:830(flush)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:841(emit)': [22, 22, 5.9e-05, 0.000406]}, 'stats': [22, 22, 5.9e-05, 0.000406], 'children': {"~:0(<method 'flush' of 'file' objects>)": [22, 22, 0.00019999999999999998, 0.00019999999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:702(release)': [22, 22, 2.6e-05, 7e-05], '~:0(<hasattr>)': [22, 22, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:695(acquire)': [22, 22, 1.8e-05, 7.2e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:272(ConcreteTemplate)': {'display_name': 'templates.py:272(ConcreteTemplate)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:130(_dispose)': {'display_name': 'ffi.py:130(_dispose)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:111(close)': [10, 10, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [10, 10, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/fnmatch.py:11(<module>)': {'display_name': 'fnmatch.py:11(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/loader.py:1(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/nrt.py:15(__init__)': {'display_name': 'nrt.py:15(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/nrt.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/euler_with_efix_1D_constants.py:1(<module>)': {'display_name': 'euler_with_efix_1D_constants.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/passes.py:33(create_pass_manager_builder)': {'display_name': 'passes.py:33(create_pass_manager_builder)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:470(_pass_manager_builder)': [2, 2, 1.4999999999999999e-05, 0.00124]}, 'stats': [2, 2, 1.4999999999999999e-05, 0.00124], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/passes.py:17(_inlining_threshold)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:23(opt_level)': [2, 2, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:39(inlining_threshold)': [2, 2, 0.001191, 0.001191], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:63(loop_vectorize)': [2, 2, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:71(slp_vectorize)': [2, 2, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:7(create_pass_manager_builder)': [2, 2, 4e-06, 1.7e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:58(__init__)': {'display_name': 'ffi.py:58(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:26(parse_bitcode)': [6, 6, 9e-06, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/linker.py:6(link_modules)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:46(__str__)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:124(triple)': [7, 7, 2.1e-05, 2.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:13(get_default_triple)': [11, 11, 2.1e-05, 2.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:89(verify)': [4, 4, 8e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:16(create_mcjit_compiler)': [2, 2, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:58(__str__)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:11(parse_assembly)': [11, 11, 1.7e-05, 2.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:117(from_triple)': [2, 2, 2e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:21(get_host_cpu_name)': [8, 8, 1.4e-05, 1.6e-05]}, 'stats': [54, 54, 0.000101, 0.000122], 'children': {'~:0(<_ctypes.byref>)': [54, 54, 2.1e-05, 2.1e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:72(TypeGraph)': {'display_name': 'castgraph.py:72(TypeGraph)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/nvvm.py:55(NVVM)': {'display_name': 'nvvm.py:55(NVVM)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/nvvm.py:3(<module>)': [1, 1, 6e-06, 3.9e-05]}, 'stats': [1, 1, 6e-06, 3.9e-05], 'children': {'~:0(<_ctypes.POINTER>)': [7, 7, 3.2999999999999996e-05, 3.2999999999999996e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:1(<module>)': {'display_name': 'typeconv.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/rules.py:1(<module>)': [1, 1, 0.00155, 0.00156]}, 'stats': [1, 1, 0.00155, 0.00156], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:52(TypeCastingRules)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:6(TypeManager)': [1, 1, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/mathimpl.py:117(unary_math_int_impl)': {'display_name': 'mathimpl.py:117(unary_math_int_impl)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/mathimpl.py:121(unary_math_intr)': [6, 6, 1.1e-05, 8.599999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/mathimpl.py:3(<module>)': [2, 2, 4e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/mathimpl.py:148(unary_math_extern)': [19, 19, 2.1e-05, 3.9999999999999996e-05]}, 'stats': [27, 27, 3.6e-05, 0.00013099999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/mathimpl.py:100(_unary_int_input_wrapper_impl)': [27, 27, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:33(decorate)': [27, 27, 1.6e-05, 1.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:24(lower)': [27, 27, 7e-05, 7e-05]}}, "~:0(<method 'isdigit' of 'str' objects>)": {'display_name': "~:0(<method 'isdigit' of 'str' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:293(namedtuple)': [149, 149, 2.1e-05, 2.1e-05]}, 'stats': [149, 149, 2.1e-05, 2.1e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/command/build_ext.py:5(<module>)': {'display_name': 'build_ext.py:5(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/cc.py:1(<module>)': [1, 1, 0.00026, 0.007581999999999999]}, 'stats': [1, 1, 0.00026, 0.007581999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [8, 8, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/command/build_ext.py:37(build_ext)': [1, 1, 7e-06, 0.000483], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [1, 1, 3e-06, 0.00039], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/core.py:7(<module>)': [1, 1, 0.0007469999999999999, 0.006442], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [8, 8, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:861(Bytes)': {'display_name': 'types.py:861(Bytes)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/numpy_support.py:158(map_layout)': {'display_name': 'numpy_support.py:158(map_layout)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/typeof.py:139(_typeof_ndarray)': [23, 23, 2.3e-05, 2.3e-05]}, 'stats': [23, 23, 2.3e-05, 2.3e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:453(Module_six_moves_urllib)': {'display_name': 'six.py:453(Module_six_moves_urllib)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:1(<module>)': [1, 1, 4e-06, 8e-06]}, 'stats': [1, 1, 4e-06, 8e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:177(_get_module)': [5, 5, 4e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:268(BitwiseInvert)': {'display_name': 'builtins.py:268(BitwiseInvert)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 1.6e-05, 8.8e-05]}, 'stats': [1, 1, 1.6e-05, 8.8e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [9, 9, 1.3e-05, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:137(choose_result_int)': [8, 8, 1.3e-05, 5.6999999999999996e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:95(_define_atomic_inc_dec)': {'display_name': 'atomicops.py:95(_define_atomic_inc_dec)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:149(create_nrt_module)': [2, 2, 3.2e-05, 0.00045799999999999997]}, 'stats': [2, 2, 3.2e-05, 0.00045799999999999997], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:151(__init__)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:35(as_pointer)': [2, 2, 1e-06, 6e-06], '~:0(<getattr>)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:91(__init__)': [2, 2, 3e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:566(atomic_rmw)': [2, 2, 7e-06, 4.9999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:503(ret)': [2, 2, 9.999999999999999e-06, 5.9e-05], "~:0(<method 'format' of 'str' objects>)": [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:375(__init__)': [2, 2, 0.00010899999999999999, 0.00021999999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:84(__init__)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:20(wrapped)': [2, 2, 4.9999999999999996e-06, 4.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:399(append_basic_block)': [2, 2, 4.9999999999999996e-06, 3.5e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/ascii.py:34(StreamConverter)': {'display_name': 'ascii.py:34(StreamConverter)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/ascii.py:8(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/__init__.py:1(<module>)': {'display_name': '__init__.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/decorators.py:1(<module>)': [1, 1, 0.001081, 0.00633]}, 'stats': [1, 1, 0.001081, 0.00633], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/reduction.py:1(<module>)': [1, 1, 1.2e-05, 2.4999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/api.py:3(<module>)': [1, 1, 0.00113, 0.005222], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:721(_load_index)': {'display_name': 'dispatcher.py:721(_load_index)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:622(load_overload)': [6, 6, 0.000116, 0.003822]}, 'stats': [6, 6, 0.000116, 0.003822], 'children': {'~:0(<cPickle.load>)': [6, 6, 0.002474, 0.002474], "~:0(<method 'read' of 'file' objects>)": [6, 6, 6.7e-05, 6.7e-05], '~:0(<open>)': [6, 6, 0.000136, 0.000136], '~:0(<cPickle.loads>)': [6, 6, 0.000589, 0.001029]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:24(Integer)': {'display_name': 'types.py:24(Integer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 6e-06, 6e-06]}, 'stats': [1, 1, 6e-06, 6e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:212(wrapper)': {'display_name': 'imputils.py:212(wrapper)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/rangeobj.py:20(make_range_impl)': [3, 3, 1.8e-05, 2.3e-05]}, 'stats': [3, 3, 1.8e-05, 2.3e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:33(decorate)': [3, 3, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:276(iternext_impl)': [3, 3, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:24(lower)': [3, 3, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:109(values)': {'display_name': 'collections.py:109(values)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:464(_read)': [1, 1, 8e-06, 1.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:35(__init__)': [8, 8, 3.1e-05, 4.1e-05]}, 'stats': [9, 9, 3.9e-05, 5.3e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:78(__iter__)': [71, 71, 1.4e-05, 1.4e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/extension.py:4(<module>)': {'display_name': 'extension.py:4(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/core.py:7(<module>)': [1, 1, 3.4e-05, 3.6e-05]}, 'stats': [1, 1, 3.4e-05, 3.6e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/extension.py:26(Extension)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/_internal.py:215(_missing_ctypes)': {'display_name': '_internal.py:215(_missing_ctypes)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/_internal.py:6(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:350(BaseConfigurator)': {'display_name': 'config.py:350(BaseConfigurator)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:25(<module>)': [1, 1, 6e-06, 0.001004]}, 'stats': [1, 1, 6e-06, 0.001004], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [5, 5, 7e-06, 0.000998]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:348(Module_six_moves_urllib_error)': {'display_name': 'six.py:348(Module_six_moves_urllib_error)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:201(_ObjectCacheRef)': {'display_name': 'executionengine.py:201(_ObjectCacheRef)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:714(add_dimension)': {'display_name': 'geometry.py:714(add_dimension)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:696(__init__)': [89, 89, 0.00010999999999999999, 0.000154]}, 'stats': [89, 89, 0.00010999999999999999, 0.000154], 'children': {"~:0(<method 'append' of 'list' objects>)": [89, 89, 2.1e-05, 2.1e-05], '~:0(<setattr>)': [89, 89, 2.3e-05, 2.3e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/externals.py:105(_Installer)': {'display_name': 'externals.py:105(_Installer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/externals.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:114(Math_pow)': {'display_name': 'mathdecl.py:114(Math_pow)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:1(<module>)': [1, 1, 3e-06, 1.1e-05]}, 'stats': [1, 1, 3e-06, 1.1e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [4, 4, 6e-06, 8e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:231(RawConfigParser)': {'display_name': 'ConfigParser.py:231(RawConfigParser)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:88(<module>)': [1, 1, 8e-06, 0.001134]}, 'stats': [1, 1, 8e-06, 0.001134], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [3, 3, 6e-06, 0.001126]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:695(acquire)': {'display_name': '__init__.py:695(acquire)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:830(flush)': [22, 22, 1.8e-05, 7.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:738(handle)': [22, 22, 3.1e-05, 0.000127]}, 'stats': [44, 44, 4.9e-05, 0.00019899999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:147(acquire)': [44, 44, 0.000104, 0.00015]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:14(__init__)': {'display_name': 'transforms.py:14(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:7(create_pass_manager_builder)': [2, 2, 9.999999999999999e-06, 1.3e-05]}, 'stats': [2, 2, 9.999999999999999e-06, 1.3e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:104(__init__)': [2, 2, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:13(BC)': {'display_name': 'solver.py:13(BC)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:45(<module>)': {'display_name': 'socket.py:45(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:24(<module>)': [1, 1, 0.002693, 0.002829]}, 'stats': [1, 1, 0.002693, 0.002829], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/os.py:35(_get_exports_list)': [1, 1, 3.2999999999999996e-05, 7.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:239(_fileobject)': [1, 1, 4e-06, 4e-06], '~:0(<getattr>)': [16, 16, 3e-06, 3e-06], "~:0(<method 'extend' of 'list' objects>)": [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:183(_socketobject)': [1, 1, 4.4e-05, 4.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 2e-06, 2e-06], "~:0(<method 'startswith' of 'str' objects>)": [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:171(_closedsocket)': [1, 1, 2e-06, 2e-06], '~:0(<setattr>)': [14, 14, 4.9999999999999996e-06, 4.9999999999999996e-06], "~:0(<method 'lower' of 'str' objects>)": [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/argpacker.py:97(_Unflattener)': {'display_name': 'argpacker.py:97(_Unflattener)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/argpacker.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:242(insert_user_function)': {'display_name': 'base.py:242(insert_user_function)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:325(compile)': [6, 6, 3.9e-05, 7.4e-05]}, 'stats': [6, 6, 3.9e-05, 7.4e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:153(user_function)': [6, 6, 1.7e-05, 1.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:89(append)': [6, 6, 6e-06, 1.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:46(__init__)': [6, 6, 4e-06, 4e-06]}}, '~:0(<setattr>)': {'display_name': '~:0(<setattr>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:150(__new__)': [124, 124, 0.000108, 0.000505], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:375(__getattr__)': [111, 111, 1.8e-05, 1.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:237(add_dimension)': [89, 89, 1.8999999999999998e-05, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/nvvm.py:3(<module>)': [10, 10, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:89(__get__)': [4, 4, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:1(<module>)': [125, 125, 4.9e-05, 4.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/functools.py:17(update_wrapper)': [315, 315, 6.7e-05, 6.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:189(__init__)': [6, 6, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:430(total_ordering)': [18, 18, 1.2e-05, 1.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:447(install_array_method)': [12, 12, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:295(__deepcopy__)': [11, 11, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/api.py:48(__init__)': [6, 6, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:714(add_dimension)': [89, 89, 2.3e-05, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:45(<module>)': [14, 14, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/email/__init__.py:5(<module>)': [26, 26, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:89(__get__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:206(set_all_states)': [4804, 4804, 0.0015279999999999998, 0.0015279999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:736(__deepcopy__)': [88, 88, 3.7999999999999995e-05, 3.7999999999999995e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [125, 125, 3.5e-05, 3.5e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/ccompiler.py:23(replace_method)': [10, 10, 2e-06, 2e-06]}, 'stats': [5988, 5988, 0.001941, 0.002338], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:398(__setattr__)': [124, 124, 0.000334, 0.000397]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:537(Filter)': {'display_name': '__init__.py:537(Filter)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:24(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:692(VDot)': {'display_name': 'npydecl.py:692(VDot)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:782(add_metaclass)': {'display_name': 'six.py:782(add_metaclass)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:157(<genexpr>)': {'display_name': 'builtins.py:157(<genexpr>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [5, 5, 7.5e-05, 0.000127]}, 'stats': [5, 5, 7.5e-05, 0.000127], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [4, 4, 7e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:137(choose_result_int)': [4, 4, 1.1e-05, 4.4e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/log.py:25(Log)': {'display_name': 'log.py:25(Log)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/log.py:2(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:420(_init)': {'display_name': 'parallel.py:420(_init)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:11(<module>)': [1, 1, 0.0012619999999999999, 0.004935]}, 'stats': [1, 1, 0.0012619999999999999, 0.004935], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:366(__init__)': [1, 1, 2e-06, 2e-06], "~:0(<method 'append' of 'list' objects>)": [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/dylib.py:16(add_symbol)': [3, 3, 1.8999999999999998e-05, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:154(__del__)': [2, 2, 2e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:381(_make_cas_function)': [1, 1, 3.7999999999999995e-05, 0.0035889999999999997], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:78(CFUNCTYPE)': [1, 1, 4.8e-05, 4.9e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/bytecode.py:155(<genexpr>)': {'display_name': 'bytecode.py:155(<genexpr>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/bytecode.py:35(_make_bytecode_table)': [87, 87, 7e-05, 0.000112]}, 'stats': [87, 87, 7e-05, 0.000112], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:16(__init__)': {'display_name': '_weakrefset.py:16(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:58(__iter__)': [48, 48, 2.8e-05, 2.8e-05]}, 'stats': [48, 48, 2.8e-05, 2.8e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/intrinsics.py:32(IntrinsicMapping)': {'display_name': 'intrinsics.py:32(IntrinsicMapping)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/intrinsics.py:3(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/laguerre.py:59(<module>)': {'display_name': 'laguerre.py:59(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/__init__.py:15(<module>)': [1, 1, 2.2e-05, 0.00010899999999999999]}, 'stats': [1, 1, 2.2e-05, 0.00010899999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/laguerre.py:1741(Laguerre)': [1, 1, 4.9999999999999996e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:86(__new__)': [1, 1, 1.4999999999999999e-05, 7.4e-05], '~:0(<numpy.core.multiarray.array>)': [4, 4, 7e-06, 7e-06]}}, "~:0(<method '_insert' of '_dispatcher.Dispatcher' objects>)": {'display_name': "~:0(<method '_insert' of '_dispatcher.Dispatcher' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:114(add_overload)': [6, 6, 1.4e-05, 1.4e-05]}, 'stats': [6, 6, 1.4e-05, 1.4e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:122(Random_unary_distribution)': {'display_name': 'randomdecl.py:122(Random_unary_distribution)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:1(<module>)': [1, 1, 2e-06, 6e-06]}, 'stats': [1, 1, 2e-06, 6e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [1, 1, 3e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:59(find_driver)': {'display_name': 'driver.py:59(find_driver)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:171(__init__)': [1, 1, 2.8e-05, 0.12031599999999999]}, 'stats': [1, 1, 2.8e-05, 0.12031599999999999], 'children': {"~:0(<method 'append' of 'list' objects>)": [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:61(join)': [1, 1, 4e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:349(__init__)': [2, 2, 7.999999999999999e-05, 0.120269], '/Users/aron/anaconda3/envs/python2/lib/python2.7/genericpath.py:34(isfile)': [1, 1, 4.9999999999999996e-06, 1.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/UserDict.py:91(get)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:235(getdoc)': {'display_name': 'extras.py:235(getdoc)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:231(__init__)': [9, 9, 1.2e-05, 0.000133]}, 'stats': [9, 9, 1.2e-05, 0.000133], 'children': {'~:0(<getattr>)': [18, 18, 3e-06, 3e-06], "~:0(<method 'join' of 'str' objects>)": [9, 9, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:105(get_object_signature)': [9, 9, 1.4e-05, 0.00010999999999999999]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:330(Del)': {'display_name': 'ir.py:330(Del)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:148(sub)': {'display_name': 're.py:148(sub)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:277(_check_for_unavailable_sdk)': [9, 9, 7e-06, 3.9999999999999996e-05]}, 'stats': [9, 9, 7e-06, 3.9999999999999996e-05], 'children': {"~:0(<method 'sub' of '_sre.SRE_Pattern' objects>)": [9, 9, 2.3e-05, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:230(_compile)': [9, 9, 9.999999999999999e-06, 9.999999999999999e-06]}}, '~:0(<_sre.getlower>)': {'display_name': '~:0(<_sre.getlower>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:101(fixup)': [304, 304, 5.9e-05, 5.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:64(_compile)': [122, 122, 3.2999999999999996e-05, 3.2999999999999996e-05]}, 'stats': [426, 426, 9.2e-05, 9.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/npyio.py:40(BagObj)': {'display_name': 'npyio.py:40(BagObj)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/npyio.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:77(_StructProxy)': {'display_name': 'cgutils.py:77(_StructProxy)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:3(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/randomimpl.py:3(<module>)': {'display_name': 'randomimpl.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:1(<module>)': [1, 1, 0.000103, 0.000158]}, 'stats': [1, 1, 0.000103, 0.000158], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:18(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:103(__init__)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:91(__init__)': [1, 1, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:287(__init__)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:366(__init__)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:24(lower)': [69, 69, 9e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:33(decorate)': [69, 69, 2.6e-05, 3.5e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:182(__init__)': [2, 2, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:621(_removeHandlerRef)': {'display_name': '__init__.py:621(_removeHandlerRef)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/__init__.py:1(<module>)': [1, 1, 3e-06, 9e-06]}, 'stats': [1, 1, 3e-06, 9e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:214(_releaseLock)': [1, 1, 0.0, 2e-06], "~:0(<method 'remove' of 'list' objects>)": [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:205(_acquireLock)': [1, 1, 1e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/_utils.py:4(DuplicatedNameError)': {'display_name': '_utils.py:4(DuplicatedNameError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/_utils.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch.py:202(<lambda>)': {'display_name': 'singledispatch.py:202(<lambda>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/ctypes_utils.py:3(<module>)': [1, 1, 0.0, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/typeof.py:1(<module>)': [12, 12, 4.9999999999999996e-06, 3.7e-05]}, 'stats': [13, 13, 4.9999999999999996e-06, 4.4999999999999996e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch.py:195(register)': [13, 13, 1.8e-05, 3.9999999999999996e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:234(create_target_library_info)': {'display_name': 'targets.py:234(create_target_library_info)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:399(_init)': [1, 1, 2.4999999999999998e-05, 2.8e-05]}, 'stats': [1, 1, 2.4999999999999998e-05, 2.8e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:104(__init__)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/common.py:7(_encode_string)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:797(Buffer)': {'display_name': 'types.py:797(Buffer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 6e-06, 6e-06]}, 'stats': [1, 1, 6e-06, 6e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:7714(getdoc)': {'display_name': 'core.py:7714(getdoc)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:7709(__init__)': [8, 8, 9.999999999999999e-06, 8.099999999999999e-05]}, 'stats': [8, 8, 9.999999999999999e-06, 8.099999999999999e-05], 'children': {'~:0(<getattr>)': [8, 8, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:105(get_object_signature)': [8, 8, 1.2e-05, 6.7e-05]}}, '~:0(<numba._helperlib.rnd_seed>)': {'display_name': '~:0(<numba._helperlib.rnd_seed>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/randomimpl.py:33(random_init)': [2, 2, 2.4999999999999998e-05, 2.4999999999999998e-05]}, 'stats': [2, 2, 2.4999999999999998e-05, 2.4999999999999998e-05], 'children': {}}, '~:0(<__import__>)': {'display_name': '~:0(<__import__>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/serialize.py:35(_rebuild_module)': [6, 6, 1.6e-05, 1.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:78(_import_module)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:78(_import_module)': [4, 4, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/function_base.py:3511(add_newdoc)': [272, 272, 0.00045599999999999997, 0.00045599999999999997], '/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/__init__.py:71(search_function)': [2, 2, 0.000192, 0.000267]}, 'stats': [285, 285, 0.0006709999999999999, 0.0007459999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/ascii.py:8(<module>)': [1, 1, 7.099999999999999e-05, 7.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:48(create_target_data)': {'display_name': 'targets.py:48(create_target_data)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:451(_module_pass_manager)': [1, 1, 8e-06, 9.999999999999999e-06]}, 'stats': [1, 1, 8e-06, 9.999999999999999e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:104(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/common.py:7(_encode_string)': [1, 1, 0.0, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cffi_utils.py:158(FFIAttribute)': {'display_name': 'cffi_utils.py:158(FFIAttribute)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cffi_utils.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pythonapi.py:135(PythonAPI)': {'display_name': 'pythonapi.py:135(PythonAPI)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pythonapi.py:1(<module>)': [1, 1, 7.599999999999999e-05, 9.8e-05]}, 'stats': [1, 1, 7.599999999999999e-05, 9.8e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:54(contextmanager)': [2, 2, 4.9999999999999996e-06, 2.2e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:232(__init__)': {'display_name': 'ConfigParser.py:232(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:60(fileConfig)': [1, 1, 1.1e-05, 3.9e-05]}, 'stats': [1, 1, 1.1e-05, 3.9e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:38(__init__)': [2, 2, 1.7e-05, 2.8e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:183(_finalize_final_module)': {'display_name': 'codegen.py:183(_finalize_final_module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:327(_unserialize)': [6, 6, 4.2999999999999995e-05, 0.0016209999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:157(finalize)': [1, 1, 1.1e-05, 0.044036]}, 'stats': [7, 7, 5.4e-05, 0.045656999999999996], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:385(_finalize_specific)': [7, 7, 1.2e-05, 0.045343], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:506(__init__)': [7, 7, 7e-05, 7.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:536(_add_module)': [7, 7, 2.4e-05, 0.000186]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:358(extend_all)': {'display_name': 'numeric.py:358(extend_all)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:1(<module>)': [3, 3, 0.000203, 0.000256]}, 'stats': [3, 3, 0.000203, 0.000256], 'children': {'~:0(<getattr>)': [3, 3, 3e-06, 3e-06], "~:0(<method 'keys' of 'dict' objects>)": [1, 1, 3e-06, 3e-06], "~:0(<method 'append' of 'list' objects>)": [243, 243, 1.9999999999999998e-05, 1.9999999999999998e-05], "~:0(<method 'startswith' of 'str' objects>)": [119, 119, 2.7e-05, 2.7e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:662(exec_)': {'display_name': 'six.py:662(exec_)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [1, 1, 3.6e-05, 7.8e-05]}, 'stats': [1, 1, 3.6e-05, 7.8e-05], 'children': {'~:0(<sys._getframe>)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/shallow_roe_with_efix_2D_constants.py:1(<module>)': {'display_name': 'shallow_roe_with_efix_2D_constants.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:14(identity_map_1d)': {'display_name': 'geometry.py:14(identity_map_1d)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:311(_compute_p_centers)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:459(Global)': {'display_name': 'ir.py:459(Global)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:700(OptionalModel)': {'display_name': 'models.py:700(OptionalModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:138(TimedeltaFloorDiv)': {'display_name': 'npdatetime.py:138(TimedeltaFloorDiv)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:244(IntegerModel)': {'display_name': 'models.py:244(IntegerModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/dufunc.py:11(DUFuncKernel)': {'display_name': 'dufunc.py:11(DUFuncKernel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/dufunc.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:579(__init__)': {'display_name': '__init__.py:579(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:657(__init__)': [3, 3, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1119(__init__)': [10, 10, 7e-06, 7e-06]}, 'stats': [13, 13, 9.999999999999999e-06, 9.999999999999999e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:189(AbstractTemplate)': {'display_name': 'templates.py:189(AbstractTemplate)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:3(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/polynomial.py:4(<module>)': {'display_name': 'polynomial.py:4(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/__init__.py:1(<module>)': [1, 1, 0.000207, 0.001906]}, 'stats': [1, 1, 0.000207, 0.001906], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/polynomial.py:939(poly1d)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [1, 1, 2e-06, 0.000222], '/Users/aron/anaconda3/envs/python2/lib/python2.7/warnings.py:96(simplefilter)': [1, 1, 6e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/polynomial.py:22(RankWarning)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/linalg/__init__.py:45(<module>)': [1, 1, 0.000375, 0.001465]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/function_base.py:9(linspace)': {'display_name': 'function_base.py:9(linspace)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:85(__init__)': [1, 1, 1.8e-05, 2.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:276(run)': [1, 1, 9e-06, 1.8999999999999998e-05]}, 'stats': [2, 2, 2.7e-05, 4.7e-05], 'children': {'~:0(<numpy.core.multiarray.arange>)': [2, 2, 9.999999999999999e-06, 9.999999999999999e-06], "~:0(<method 'astype' of 'numpy.ndarray' objects>)": [2, 2, 3e-06, 3e-06], '~:0(<numpy.core.multiarray.result_type>)': [2, 2, 7e-06, 7e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:108(__str__)': {'display_name': 'types.py:108(__str__)', 'callers': {"~:0(<method 'format' of 'str' objects>)": [32, 31, 1.4e-05, 0.00017999999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:158(<genexpr>)': [3, 3, 0.0, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:492(__str__)': [1, 1, 0.0, 3e-06], '~:0(<map>)': [6, 6, 3e-06, 2.1e-05]}, 'stats': [32, 42, 1.7e-05, 0.000183], 'children': {"~:0(<method 'format' of 'str' objects>)": [42, 32, 6.8e-05, 0.000169]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/suite.py:252(_ErrorHolder)': {'display_name': 'suite.py:252(_ErrorHolder)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/suite.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:1(<module>)': {'display_name': 'targets.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:1(<module>)': [1, 1, 0.001091, 0.0057009999999999995]}, 'stats': [1, 1, 0.001091, 0.0057009999999999995], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:106(Target)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:375(__getattr__)': [28, 28, 2.9e-05, 0.00013099999999999999], '~:0(<_ctypes.POINTER>)': [7, 7, 7.999999999999999e-05, 7.999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:240(TargetLibraryInfo)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:52(TargetData)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:173(TargetMachine)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:1(<module>)': [1, 1, 0.001088, 0.003921], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:293(namedtuple)': [1, 1, 0.00037999999999999997, 0.000468]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:362(ParallelTestResult)': {'display_name': 'testing.py:362(ParallelTestResult)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cmathimpl.py:99(intrinsic_complex_unary)': {'display_name': 'cmathimpl.py:99(intrinsic_complex_unary)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cmathimpl.py:3(<module>)': [4, 4, 2e-06, 2e-06]}, 'stats': [4, 4, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:287(_move_and_group_decref_after_all_increfs)': {'display_name': 'atomicops.py:287(_move_and_group_decref_after_all_increfs)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:247(_process_basic_block)': [11, 11, 4.8e-05, 7.5e-05]}, 'stats': [11, 11, 4.8e-05, 7.5e-05], 'children': {"~:0(<method 'match' of '_sre.SRE_Pattern' objects>)": [62, 62, 2.2e-05, 2.2e-05], '~:0(<max>)': [11, 11, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:68(__getattr__)': {'display_name': 'solution.py:68(__getattr__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:100(step)': [4804, 4804, 0.002068, 0.004653], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:553(evolve_to_time)': [19234, 19234, 0.012421999999999999, 0.026022999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:276(run)': [12, 12, 1.1e-05, 1.9999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:671(write_gauge_values)': [4804, 4804, 0.004535, 0.012006]}, 'stats': [28854, 28854, 0.019036, 0.042702], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:221(_get_base_state_attribute)': [28854, 28854, 0.014565, 0.023666]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:1112(BufferingHandler)': {'display_name': 'handlers.py:1112(BufferingHandler)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:24(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:240(__exit__)': {'display_name': 'utils.py:240(__exit__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:325(compile)': [6, 6, 6e-06, 2.4999999999999998e-05]}, 'stats': [6, 6, 6e-06, 2.4999999999999998e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:226(release)': [6, 6, 1.7e-05, 1.8999999999999998e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/euler_1D_py.py:262(roe_averages)': {'display_name': 'euler_1D_py.py:262(roe_averages)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/euler_1D_py.py:51(euler_roe_1D)': [4804, 4804, 1.136326, 1.143113]}, 'stats': [4804, 4804, 1.136326, 1.143113], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:164(_compile_for_args)': [1, 1, 7e-06, 0.006552], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:239(typeof_pyval)': [1, 1, 4.9999999999999996e-06, 0.000235]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/matrixlib/defmatrix.py:1(<module>)': {'display_name': 'defmatrix.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/matrixlib/__init__.py:3(<module>)': [1, 1, 0.00027499999999999996, 0.000376]}, 'stats': [1, 1, 0.00027499999999999996, 0.000376], 'children': {'~:0(<chr>)': [256, 256, 3.7999999999999995e-05, 3.7999999999999995e-05], '~:0(<range>)': [1, 1, 3e-06, 3e-06], "~:0(<method 'append' of 'list' objects>)": [239, 239, 3.5e-05, 3.5e-05], "~:0(<method 'join' of 'str' objects>)": [2, 2, 1.1e-05, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/matrixlib/defmatrix.py:208(matrix)': [1, 1, 1.4e-05, 1.4e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/utils.py:1788(clear_and_catch_warnings)': {'display_name': 'utils.py:1788(clear_and_catch_warnings)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/utils.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '~:0(<_curses.tigetnum>)': {'display_name': '~:0(<_curses.tigetnum>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/misc_util.py:313(terminal_has_colors)': [2, 2, 7e-06, 7e-06]}, 'stats': [2, 2, 7e-06, 7e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/llvm_types.py:1(<module>)': {'display_name': 'llvm_types.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:2(<module>)': [1, 1, 0.00021799999999999999, 0.000285]}, 'stats': [1, 1, 0.00021799999999999999, 0.000285], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [4, 4, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:62(int)': [3, 3, 9e-06, 1.4e-05], '~:0(<_ctypes.sizeof>)': [1, 1, 1e-06, 1e-06], '~:0(<hasattr>)': [1, 1, 2e-06, 2e-06], '~:0(<getattr>)': [1, 1, 1e-06, 1e-06], '~:0(<_struct.calcsize>)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:74(pointer)': [2, 2, 4e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [4, 4, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:119(null)': [1, 1, 3e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:82(struct)': [1, 1, 4e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:103(int)': [1, 1, 2e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:115(struct)': [1, 1, 3e-06, 1.1e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pythonapi.py:25(decorator)': {'display_name': 'pythonapi.py:25(decorator)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/boxing.py:4(<module>)': [2, 2, 3e-06, 3e-06]}, 'stats': [2, 2, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/ee.py:1(<module>)': {'display_name': 'ee.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:1(<module>)': [1, 1, 0.000117, 0.000936]}, 'stats': [1, 1, 0.000117, 0.000936], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/initfini.py:21(initialize_native_asmprinter)': [1, 1, 0.00039, 0.00039999999999999996], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/ee.py:11(EngineBuilder)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/initfini.py:13(initialize_native_target)': [1, 1, 0.000386, 0.00040699999999999997], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/ee.py:62(TargetMachine)': [1, 1, 6e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:189(__init__)': {'display_name': 'sre_parse.py:189(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:706(parse)': [87, 87, 9.6e-05, 0.00027299999999999997]}, 'stats': [87, 87, 9.6e-05, 0.00027299999999999997], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:193(__next)': [87, 87, 0.000154, 0.000177]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:75(__init__)': {'display_name': 'solver.py:75(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:267(__init__)': [1, 1, 9.999999999999999e-06, 0.000118]}, 'stats': [1, 1, 9.999999999999999e-06, 0.000118], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:160(__init__)': [1, 1, 3.2e-05, 9.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:140(__setattr__)': [13, 13, 1.1e-05, 1.1e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6251(_frommethod)': {'display_name': 'core.py:6251(_frommethod)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:21(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/utils.py:1547(WarningMessage)': {'display_name': 'utils.py:1547(WarningMessage)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/utils.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:12(<module>)': {'display_name': 'devices.py:12(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:1(<module>)': [1, 1, 0.001679, 0.12753399999999998]}, 'stats': [1, 1, 0.001679, 0.12753399999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:59(_DeviceContextManager)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/servicelib/__init__.py:1(<module>)': [1, 1, 0.000745, 0.00083], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:97(__init__)': [1, 1, 1.1e-05, 2.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:20(_DeviceList)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:90(_Runtime)': [1, 1, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:12(<module>)': [1, 1, 0.0032909999999999997, 0.12498899999999999]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/deviceufunc.py:727(GUFuncCallSteps)': {'display_name': 'deviceufunc.py:727(GUFuncCallSteps)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/deviceufunc.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1088(_set_daemon)': {'display_name': 'threading.py:1088(_set_daemon)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:647(__init__)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '~:0(<_hashlib.openssl_sha512>)': {'display_name': '~:0(<_hashlib.openssl_sha512>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/hashlib.py:100(__get_openssl_constructor)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:699(_data_path)': {'display_name': 'dispatcher.py:699(_data_path)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:747(_load_data)': [6, 6, 8e-06, 4.1e-05]}, 'stats': [6, 6, 8e-06, 4.1e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:61(join)': [6, 6, 1.8999999999999998e-05, 3.2999999999999996e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:382(ArrayCTypesAttribute)': {'display_name': 'arraydecl.py:382(ArrayCTypesAttribute)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:219(__init__)': {'display_name': 'types.py:219(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [280, 280, 0.001233, 0.001493]}, 'stats': [280, 280, 0.001233, 0.001493], 'children': {'~:0(<isinstance>)': [280, 280, 0.000169, 0.000169], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:85(__init__)': [280, 280, 9.099999999999999e-05, 9.099999999999999e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:387(module)': {'display_name': 'values.py:387(module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:36(module)': [6, 6, 1e-06, 1e-06]}, 'stats': [6, 6, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/UserDict.py:4(__init__)': {'display_name': 'UserDict.py:4(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:153(__init__)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/weakref.py:47(__init__)': [3, 3, 6e-06, 8e-06]}, 'stats': [4, 4, 8e-06, 9.999999999999999e-06], 'children': {'~:0(<len>)': [8, 8, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:39(__init__)': {'display_name': 'codegen.py:39(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:441(create_library)': [7, 7, 9.2e-05, 0.000979]}, 'stats': [7, 7, 9.2e-05, 0.000979], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:76(__del__)': [7, 7, 2e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:114(__repr__)': [7, 7, 5.4999999999999995e-05, 0.00015], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:104(name)': [7, 7, 3.1e-05, 3.7e-05], '~:0(<_weakref.proxy>)': [7, 7, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:11(parse_assembly)': [7, 7, 0.00020899999999999998, 0.00027499999999999996], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:419(_create_empty_module)': [7, 7, 3.7999999999999995e-05, 0.00041299999999999996]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/genericpath.py:23(exists)': {'display_name': 'genericpath.py:23(exists)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 8e-06, 2.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:493(from_function)': [8, 8, 1.4e-05, 8.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:277(_check_for_unavailable_sdk)': [1, 1, 4e-06, 1.4999999999999999e-05]}, 'stats': [10, 10, 2.6e-05, 0.00011999999999999999], 'children': {'~:0(<posix.stat>)': [10, 10, 9.4e-05, 9.4e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:94(_EnumDict)': {'display_name': '__init__.py:94(_EnumDict)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:137(MovedAttribute)': {'display_name': 'six.py:137(MovedAttribute)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:146(ArrayRef)': {'display_name': 'c_ast.py:146(ArrayRef)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:459(FuncCall)': {'display_name': 'c_ast.py:459(FuncCall)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:139(__delitem__)': {'display_name': 'sre_parse.py:139(__delitem__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:317(_parse_sub)': [15, 15, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [15, 15, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/warnings.py:96(simplefilter)': {'display_name': 'warnings.py:96(simplefilter)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/config.py:72(process_environ)': [1, 1, 4e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/polynomial.py:4(<module>)': [1, 1, 6e-06, 7e-06]}, 'stats': [2, 2, 9.999999999999999e-06, 1.2e-05], 'children': {"~:0(<method 'insert' of 'list' objects>)": [2, 2, 2e-06, 2e-06], '~:0(<isinstance>)': [2, 2, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/utils.py:56(_Deprecate)': {'display_name': 'utils.py:56(_Deprecate)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/utils.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:613(FilterClause)': {'display_name': 'instructions.py:613(FilterClause)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1338(getEffectiveLevel)': {'display_name': '__init__.py:1338(getEffectiveLevel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1352(isEnabledFor)': [4815, 4815, 0.0042369999999999994, 0.0042369999999999994]}, 'stats': [4815, 4815, 0.0042369999999999994, 0.0042369999999999994], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:85(closegroup)': {'display_name': 'sre_parse.py:85(closegroup)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:395(_parse)': [130, 130, 7.099999999999999e-05, 0.000122]}, 'stats': [130, 130, 7.099999999999999e-05, 0.000122], 'children': {"~:0(<method 'remove' of 'list' objects>)": [130, 130, 5.1e-05, 5.1e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:37(_wrapname)': {'display_name': 'values.py:37(_wrapname)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:174(get_reference)': [92, 92, 7.3e-05, 0.000148]}, 'stats': [92, 92, 7.3e-05, 0.000148], 'children': {"~:0(<method 'format' of 'str' objects>)": [92, 92, 4.2e-05, 4.2e-05], "~:0(<method 'replace' of 'str' objects>)": [92, 92, 3.2999999999999996e-05, 3.2999999999999996e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/argpacker.py:1(<module>)': {'display_name': 'argpacker.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/__init__.py:1(<module>)': [1, 1, 4.6e-05, 4.9999999999999996e-05]}, 'stats': [1, 1, 4.6e-05, 4.9999999999999996e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/argpacker.py:6(ArgPacker)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/argpacker.py:97(_Unflattener)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/__init__.py:1(<module>)': {'display_name': '__init__.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/sigutils.py:1(<module>)': [1, 1, 0.001001, 0.08824699999999999]}, 'stats': [1, 1, 0.001001, 0.08824699999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/context.py:1(<module>)': [1, 1, 0.010896, 0.08724499999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:253(SetItem)': {'display_name': 'ir.py:253(SetItem)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/intrinsics.py:3(<module>)': {'display_name': 'intrinsics.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:85(__init__)': {'display_name': 'abstracttypes.py:85(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1330(__init__)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:583(__init__)': [3, 3, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:71(__init__)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:273(__init__)': [6, 6, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:184(__init__)': [46, 46, 9e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:53(__init__)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1172(__init__)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:344(__init__)': [26, 26, 9.999999999999999e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:26(__init__)': [8, 8, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [10, 10, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1484(__init__)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:418(__init__)': [8, 8, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:809(__init__)': [23, 23, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:219(__init__)': [280, 280, 9.099999999999999e-05, 9.099999999999999e-05]}, 'stats': [420, 420, 0.000136, 0.000136], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/StringIO.py:30(<module>)': {'display_name': 'StringIO.py:30(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/result.py:1(<module>)': [1, 1, 1.1e-05, 1.4e-05]}, 'stats': [1, 1, 1.1e-05, 1.4e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/StringIO.py:42(StringIO)': [1, 1, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/wrappers.py:501(GUArrayArg)': {'display_name': 'wrappers.py:501(GUArrayArg)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/wrappers.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:444(PhiInstr)': {'display_name': 'instructions.py:444(PhiInstr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/__init__.py:55(_ensure_llvm)': {'display_name': '__init__.py:55(_ensure_llvm)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/__init__.py:3(<module>)': [1, 1, 1.3e-05, 0.000368]}, 'stats': [1, 1, 1.3e-05, 0.000368], 'children': {"~:0(<method 'groups' of '_sre.SRE_Match' objects>)": [1, 1, 1e-06, 1e-06], '~:0(<map>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], "~:0(<method 'match' of '_sre.SRE_Pattern' objects>)": [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [1, 1, 3e-06, 0.000332], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:31(check_jit_execution)': [1, 1, 1.3e-05, 1.3e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cmathdecl.py:43(Cmath_log)': {'display_name': 'cmathdecl.py:43(Cmath_log)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cmathdecl.py:1(<module>)': [1, 1, 4e-06, 1.1e-05]}, 'stats': [1, 1, 4e-06, 1.1e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [4, 4, 7e-06, 7e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:9(<module>)': {'display_name': 'solver.py:9(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/__init__.py:1(<module>)': [1, 1, 0.001377, 0.004791]}, 'stats': [1, 1, 0.001377, 0.004791], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [5, 5, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/limiters/__init__.py:8(<module>)': [1, 1, 0.0007459999999999999, 0.002065], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:616(ClawSolver3D)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/decorators.py:38(jit)': [3, 3, 6e-06, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:455(ClawSolver2D)': [1, 1, 7e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [5, 5, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/decorators.py:157(wrapper)': [3, 3, 1.2e-05, 0.0012959999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:23(ClawSolver)': [1, 1, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:253(ClawSolver1D)': [1, 1, 7e-06, 8e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:507(JITCPUCodegen)': {'display_name': 'codegen.py:507(JITCPUCodegen)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/servicelib/__init__.py:1(<module>)': {'display_name': '__init__.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:12(<module>)': [1, 1, 0.000745, 0.00083]}, 'stats': [1, 1, 0.000745, 0.00083], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/servicelib/service.py:4(<module>)': [1, 1, 4.9e-05, 5.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/servicelib/threadlocal.py:4(<module>)': [1, 1, 2.6e-05, 2.9999999999999997e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/loader.py:1(<module>)': {'display_name': 'loader.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/__init__.py:45(<module>)': [1, 1, 0.00026, 0.000535]}, 'stats': [1, 1, 0.00026, 0.000535], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/fnmatch.py:11(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/loader.py:38(TestLoader)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [1, 1, 3e-06, 0.000267]}}, '~:0(<_cffi_backend.new_pointer_type>)': {'display_name': '~:0(<_cffi_backend.new_pointer_type>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:518(global_cache)': [2, 2, 1e-06, 1e-06]}, 'stats': [2, 2, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/yacc.py:1812(VersionError)': {'display_name': 'yacc.py:1812(VersionError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/yacc.py:62(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/sysconfig.py:10(<module>)': {'display_name': 'sysconfig.py:10(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/spawn.py:7(<module>)': [1, 1, 2.7e-05, 0.0009]}, 'stats': [1, 1, 2.7e-05, 0.0009], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:120(dirname)': [1, 1, 4e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [3, 3, 6e-06, 0.000806], '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:329(normpath)': [2, 2, 1.2e-05, 1.9999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:358(abspath)': [1, 1, 3e-06, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/sysconfig.py:50(_python_build)': [1, 1, 4.9999999999999996e-06, 3.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/UserDict.py:103(__contains__)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:474(Argument)': {'display_name': 'values.py:474(Argument)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devicearray.py:260(DeviceNDArray)': {'display_name': 'devicearray.py:260(DeviceNDArray)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devicearray.py:5(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/controlflow.py:36(Loop)': {'display_name': 'controlflow.py:36(Loop)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/controlflow.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:74(opengroup)': {'display_name': 'sre_parse.py:74(opengroup)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:395(_parse)': [130, 130, 0.000104, 0.00014]}, 'stats': [130, 130, 0.000104, 0.00014], 'children': {"~:0(<method 'get' of 'dict' objects>)": [14, 14, 2e-06, 2e-06], "~:0(<method 'append' of 'list' objects>)": [130, 130, 3.4e-05, 3.4e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:140(__setattr__)': {'display_name': 'solver.py:140(__setattr__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:166(_set_mthlim)': [3, 3, 3e-06, 6e-06], 'shocksine.py:40(setup)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:276(run)': [1, 1, 0.0, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:530(get_dt_new)': [4803, 4803, 0.007738999999999999, 0.009249], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:357(_apply_bcs)': [4805, 4805, 0.006362, 0.00791], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:75(__init__)': [13, 13, 1.1e-05, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:534(get_dt)': [21, 21, 1.9999999999999998e-05, 2.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:160(__init__)': [35, 35, 2.9999999999999997e-05, 3.2999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:324(_allocate_bc_arrays)': [2, 2, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:267(__init__)': [2, 2, 4e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:289(setup)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:553(evolve_to_time)': [4804, 4804, 0.00968, 0.012048]}, 'stats': [14492, 14492, 0.023854, 0.029299], 'children': {'~:0(<hasattr>)': [28934, 28934, 0.005445, 0.005445]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:50(DistutilsPlatformError)': {'display_name': 'errors.py:50(DistutilsPlatformError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:9(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:33(CPUContext)': {'display_name': 'cpu.py:33(CPUContext)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:1(<module>)': [1, 1, 1.2e-05, 1.4e-05]}, 'stats': [1, 1, 1.2e-05, 1.4e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:255(__init__)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/unixccompiler.py:48(UnixCCompiler)': {'display_name': 'unixccompiler.py:48(UnixCCompiler)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/unixccompiler.py:14(<module>)': [1, 1, 7e-06, 7e-06]}, 'stats': [1, 1, 7e-06, 7e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/core.py:7(<module>)': {'display_name': 'core.py:7(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/command/build_ext.py:5(<module>)': [1, 1, 0.0007469999999999999, 0.006442]}, 'stats': [1, 1, 0.0007469999999999999, 0.006442], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [5, 5, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/dist.py:5(<module>)': [1, 1, 0.000576, 0.003436], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/config.py:5(<module>)': [1, 1, 0.00022899999999999998, 0.001887], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [5, 5, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/cmd.py:5(<module>)': [1, 1, 0.000243, 0.00032199999999999997], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/debug.py:1(<module>)': [1, 1, 6e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/extension.py:4(<module>)': [1, 1, 3.4e-05, 3.6e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeinfer.py:238(StaticGetItemConstraint)': {'display_name': 'typeinfer.py:238(StaticGetItemConstraint)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeinfer.py:13(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:411(NdConstructor)': {'display_name': 'npydecl.py:411(NdConstructor)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/ascii.py:13(Codec)': {'display_name': 'ascii.py:13(Codec)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/ascii.py:8(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:938(__init__)': {'display_name': 'core.py:938(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:21(<module>)': [18, 18, 3.2999999999999996e-05, 6.8e-05]}, 'stats': [18, 18, 3.2999999999999996e-05, 6.8e-05], 'children': {'~:0(<getattr>)': [36, 36, 3.5e-05, 3.5e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pythonapi.py:23(register)': {'display_name': 'pythonapi.py:23(register)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/boxing.py:4(<module>)': [2, 2, 4e-06, 2.4999999999999998e-05]}, 'stats': [2, 2, 4e-06, 2.4999999999999998e-05], 'children': {'~:0(<issubclass>)': [2, 2, 3e-06, 2.1e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/_abcoll.py:548(update)': {'display_name': '_abcoll.py:548(update)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:38(__init__)': [80, 80, 0.000318, 0.0010659999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:274(options)': [12, 12, 1.9999999999999998e-05, 5.6e-05]}, 'stats': [92, 92, 0.000338, 0.001122], 'children': {"~:0(<method 'items' of 'dict' objects>)": [92, 92, 1.4999999999999999e-05, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:78(__iter__)': [88, 88, 3.2999999999999996e-05, 3.2999999999999996e-05], '~:0(<len>)': [92, 92, 1.3e-05, 1.3e-05], '~:0(<isinstance>)': [56, 56, 3.4e-05, 0.000367], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:506(<genexpr>)': [53, 53, 2.9e-05, 3.9999999999999996e-05], '~:0(<hasattr>)': [32, 32, 3.6e-05, 3.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:59(__setitem__)': [244, 244, 0.00028, 0.00028]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/pickle.py:833(Unpickler)': {'display_name': 'pickle.py:833(Unpickler)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/pickle.py:25(<module>)': [1, 1, 2.2e-05, 2.2e-05]}, 'stats': [1, 1, 2.2e-05, 2.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:29(Random_getrandbits)': {'display_name': 'randomdecl.py:29(Random_getrandbits)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:1(<module>)': [1, 1, 1e-06, 8e-06]}, 'stats': [1, 1, 1e-06, 8e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [1, 1, 6e-06, 7e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:164(_compile_for_args)': {'display_name': 'dispatcher.py:164(_compile_for_args)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/limiters/tvd.py:158(limit)': [1, 1, 7e-06, 0.003884], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/euler_1D_py.py:110(godunov_update)': [1, 1, 9e-06, 0.003045], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:377(godunov_update)': [1, 1, 1.2e-05, 0.002587], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:435(compute_correction_fluxes)': [1, 1, 9.999999999999999e-06, 0.002577], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/euler_1D_py.py:262(roe_averages)': [1, 1, 7e-06, 0.006552], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:397(compute_max_wave_speed)': [1, 1, 9e-06, 0.002118]}, 'stats': [6, 6, 5.4e-05, 0.020763], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:325(compile)': [6, 6, 0.000101, 0.019805], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:239(typeof_pyval)': [34, 34, 2.7e-05, 0.000904]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:357(TupleNe)': {'display_name': 'builtins.py:357(TupleNe)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dummyarray.py:122(Array)': {'display_name': 'dummyarray.py:122(Array)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dummyarray.py:1(<module>)': [1, 1, 4e-06, 4e-06]}, 'stats': [1, 1, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:282(_object_compiled_hook)': {'display_name': 'codegen.py:282(_object_compiled_hook)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:152(_raw_object_cache_notify)': [2, 2, 6e-06, 6e-06]}, 'stats': [2, 2, 6e-06, 6e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:122(_LazyModule)': {'display_name': 'six.py:122(_LazyModule)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:375(<genexpr>)': {'display_name': 'npydecl.py:375(<genexpr>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:1(<module>)': [13, 13, 9.999999999999999e-06, 1.8999999999999998e-05]}, 'stats': [13, 13, 9.999999999999999e-06, 1.8999999999999998e-05], 'children': {'~:0(<getattr>)': [12, 12, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:96(__repr__)': [12, 12, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:954(ArrayCTypes)': {'display_name': 'types.py:954(ArrayCTypes)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:792(_DomainSafeDivide)': {'display_name': 'core.py:792(_DomainSafeDivide)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:21(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/yacc.py:191(YaccProduction)': {'display_name': 'yacc.py:191(YaccProduction)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/yacc.py:62(<module>)': [1, 1, 4e-06, 4e-06]}, 'stats': [1, 1, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:78(promote_unsafe)': {'display_name': 'typeconv.py:78(promote_unsafe)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/rules.py:16(_init_casting_rules)': [8, 8, 4e-06, 0.007055]}, 'stats': [8, 8, 4e-06, 0.007055], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:66(unsafe)': [8, 8, 7e-06, 0.004833], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:60(promote)': [8, 8, 7e-06, 0.002218]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:186(Function)': {'display_name': 'core.py:186(Function)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:413(Dispatcher)': {'display_name': 'types.py:413(Dispatcher)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 6e-06, 6e-06]}, 'stats': [1, 1, 6e-06, 6e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/serialize.py:26(_ModuleRef)': {'display_name': 'serialize.py:26(_ModuleRef)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/serialize.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:388(PyDLL)': {'display_name': '__init__.py:388(PyDLL)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1385(__init__)': {'display_name': '__init__.py:1385(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:24(<module>)': [1, 1, 2e-06, 8e-06]}, 'stats': [1, 1, 2e-06, 8e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1119(__init__)': [1, 1, 3e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:365(TupleGt)': {'display_name': 'builtins.py:365(TupleGt)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:207(ValueStructProxy)': {'display_name': 'cgutils.py:207(ValueStructProxy)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:1050(HTTPHandler)': {'display_name': 'handlers.py:1050(HTTPHandler)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:24(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:341(Device)': {'display_name': 'driver.py:341(Device)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:12(<module>)': [1, 1, 1.3e-05, 1.3e-05]}, 'stats': [1, 1, 1.3e-05, 1.3e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:405(formatTime)': {'display_name': '__init__.py:405(formatTime)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:452(format)': [22, 22, 9.6e-05, 0.00031299999999999996]}, 'stats': [22, 22, 9.6e-05, 0.00031299999999999996], 'children': {'~:0(<time.localtime>)': [22, 22, 9.3e-05, 9.3e-05], '~:0(<time.strftime>)': [22, 22, 0.000124, 0.000124]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:320(FCMPInstr)': {'display_name': 'instructions.py:320(FCMPInstr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:35(__init__)': {'display_name': 'passmanagers.py:35(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:10(create_function_pass_manager)': [1, 1, 1.1e-05, 1.2e-05]}, 'stats': [1, 1, 1.1e-05, 1.2e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:104(__init__)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:507(wrapper)': {'display_name': 'templates.py:507(wrapper)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:52(ListAttribute)': [10, 10, 1.4e-05, 6.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:208(ArrayAttribute)': [6, 6, 6e-06, 4.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:537(NumberAttribute)': [1, 1, 4e-06, 1.2e-05]}, 'stats': [17, 17, 2.4e-05, 0.00012299999999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/functools.py:17(update_wrapper)': [17, 17, 4.9e-05, 8.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/functools.py:39(wraps)': [17, 17, 1.4999999999999999e-05, 1.4999999999999999e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:43(__lt__)': {'display_name': 'types.py:43(__lt__)', 'callers': {'~:0(<sorted>)': [30, 30, 1.3e-05, 1.3e-05]}, 'stats': [30, 30, 1.3e-05, 1.3e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/util.py:172(Finalize)': {'display_name': 'util.py:172(Finalize)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/util.py:35(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:120(_save_modified_value)': {'display_name': '_osx_support.py:120(_save_modified_value)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:277(_check_for_unavailable_sdk)': [9, 9, 6e-06, 7e-06]}, 'stats': [9, 9, 6e-06, 7e-06], 'children': {"~:0(<method 'get' of 'dict' objects>)": [9, 9, 1e-06, 1e-06]}}, "~:0(<method 'pop' of 'dict' objects>)": {'display_name': "~:0(<method 'pop' of 'dict' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:69(__delitem__)': [12, 12, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:796(gep)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:784(wrapper)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:518(global_cache)': [5, 5, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [181, 181, 1.4e-05, 1.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:550(register_global)': [153, 153, 2.6e-05, 2.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:78(CFUNCTYPE)': [14, 14, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:2865(__init__)': [1, 1, 0.0, 0.0]}, 'stats': [370, 370, 4.4e-05, 4.4e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:197(CUDAKernelBase)': {'display_name': 'compiler.py:197(CUDAKernelBase)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:200(_FunctionsIterator)': {'display_name': 'module.py:200(_FunctionsIterator)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:1(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/stride_tricks.py:7(<module>)': {'display_name': 'stride_tricks.py:7(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:1(<module>)': [1, 1, 3.5e-05, 3.6e-05]}, 'stats': [1, 1, 3.5e-05, 3.6e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/stride_tricks.py:15(DummyArray)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:522(Intrinsic)': {'display_name': 'ir.py:522(Intrinsic)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:356(LoadInstr)': {'display_name': 'instructions.py:356(LoadInstr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/tupleobj.py:3(<module>)': {'display_name': 'tupleobj.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:1(<module>)': [1, 1, 3.6e-05, 7.999999999999999e-05]}, 'stats': [1, 1, 3.6e-05, 7.999999999999999e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:99(decorate)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:276(iternext_impl)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:56(lower_getattr_generic)': [1, 1, 0.0, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:33(decorate)': [16, 16, 8e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:51(decorate)': [1, 1, 1e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [1, 1, 3e-06, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:24(lower)': [16, 16, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:91(lower_cast)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ctypeslib.py:303(prep_simple)': {'display_name': 'ctypeslib.py:303(prep_simple)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ctypeslib.py:51(<module>)': [12, 12, 4.9999999999999996e-05, 4.9999999999999996e-05]}, 'stats': [12, 12, 4.9999999999999996e-05, 4.9999999999999996e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:160(BaseServer)': {'display_name': 'SocketServer.py:160(BaseServer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:120(<module>)': [1, 1, 5.9e-05, 5.9e-05]}, 'stats': [1, 1, 5.9e-05, 5.9e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:142(isfunction)': {'display_name': 'inspect.py:142(isfunction)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:804(getargspec)': [133, 133, 5.8e-05, 7.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:398(getfile)': [8, 8, 4e-06, 4.9999999999999996e-06]}, 'stats': [141, 141, 6.2e-05, 7.8e-05], 'children': {'~:0(<isinstance>)': [141, 141, 1.6e-05, 1.6e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/context.py:315(insert_global)': {'display_name': 'context.py:315(insert_global)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:262(__init__)': [8, 8, 9.999999999999999e-06, 4.7e-05]}, 'stats': [8, 8, 9.999999999999999e-06, 4.7e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/context.py:290(_insert_global)': [8, 8, 2.1e-05, 3.7e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:105(register)': {'display_name': 'abc.py:105(register)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/numbers.py:6(<module>)': [4, 4, 9.999999999999999e-06, 9.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numerictypes.py:957(_register_types)': [3, 3, 4e-06, 0.000144], '/Users/aron/anaconda3/envs/python2/lib/python2.7/io.py:34(<module>)': [8, 8, 1.8999999999999998e-05, 0.000213]}, 'stats': [15, 15, 3.2999999999999996e-05, 0.00044899999999999996], 'children': {'~:0(<issubclass>)': [30, 30, 2.2e-05, 0.000397], '~:0(<isinstance>)': [15, 15, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:83(add)': [15, 15, 1.1e-05, 1.4999999999999999e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/nanfunctions.py:19(<module>)': {'display_name': 'nanfunctions.py:19(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/__init__.py:1(<module>)': [1, 1, 2.2e-05, 2.2e-05]}, 'stats': [1, 1, 2.2e-05, 2.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/_internal.py:6(<module>)': {'display_name': '_internal.py:6(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/__init__.py:1(<module>)': [1, 1, 0.000379, 0.00376]}, 'stats': [1, 1, 0.000379, 0.00376], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/compat/__init__.py:10(<module>)': [1, 1, 0.00021899999999999998, 0.00024099999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/_internal.py:215(_missing_ctypes)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numerictypes.py:82(<module>)': [1, 1, 0.000493, 0.0019149999999999998], "~:0(<method 'join' of 'str' objects>)": [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/_internal.py:222(_ctypes)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], "~:0(<method 'keys' of 'dict' objects>)": [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [3, 3, 4e-06, 0.001217]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:181(mapc2p)': {'display_name': 'geometry.py:181(mapc2p)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:736(__deepcopy__)': [44, 44, 0.000185, 0.00066], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:237(add_dimension)': [89, 89, 0.000454, 0.00171]}, 'stats': [133, 133, 0.0006389999999999999, 0.0023699999999999997], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:804(getargspec)': [133, 133, 0.000392, 0.001633], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:230(_clear_cached_values)': [133, 133, 6.2e-05, 6.2e-05], "~:0(<method 'lower' of 'str' objects>)": [133, 133, 3.6e-05, 3.6e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/lex.py:1048(set_doc)': {'display_name': 'lex.py:1048(set_doc)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_lexer.py:16(CLexer)': [20, 20, 8e-06, 2.1e-05]}, 'stats': [20, 20, 8e-06, 2.1e-05], 'children': {'~:0(<hasattr>)': [20, 20, 1.3e-05, 1.3e-05]}}, '~:0(<numba.typeconv._typeconv.new_type_manager>)': {'display_name': '~:0(<numba.typeconv._typeconv.new_type_manager>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:15(__init__)': [1, 1, 6e-06, 6e-06]}, 'stats': [1, 1, 6e-06, 6e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/decorators.py:1(<module>)': {'display_name': 'decorators.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/__init__.py:1(<module>)': [1, 1, 0.000908, 0.002035]}, 'stats': [1, 1, 0.000908, 0.002035], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/base.py:1(<module>)': [1, 1, 0.000954, 0.001126], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:178(BinOpMul)': {'display_name': 'builtins.py:178(BinOpMul)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:166(TimedeltaCmpGE)': {'display_name': 'npdatetime.py:166(TimedeltaCmpGE)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:129(_find_module_ptr)': {'display_name': 'executionengine.py:129(_find_module_ptr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:170(_raw_object_cache_getbuffer)': [8, 8, 3.7999999999999995e-05, 0.000121], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:152(_raw_object_cache_notify)': [2, 2, 8e-06, 2.2e-05]}, 'stats': [10, 10, 4.6e-05, 0.000143], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:501(cast)': [42, 42, 9.7e-05, 9.7e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/cfl.py:28(update_global_max)': {'display_name': 'cfl.py:28(update_global_max)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:283(step_hyperbolic)': [4804, 4804, 0.00597, 0.009715]}, 'stats': [4804, 4804, 0.00597, 0.009715], 'children': {'~:0(<max>)': [4804, 4804, 0.0037449999999999996, 0.0037449999999999996]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:23(opt_level)': {'display_name': 'transforms.py:23(opt_level)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/passes.py:33(create_pass_manager_builder)': [2, 2, 7e-06, 7e-06]}, 'stats': [2, 2, 7e-06, 7e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/getlimits.py:245(__init__)': {'display_name': 'getlimits.py:245(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/random/__init__.py:88(<module>)': [2, 2, 1.3e-05, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/twodim_base.py:3(<module>)': [3, 3, 2.2e-05, 2.2e-05]}, 'stats': [5, 5, 3.5e-05, 3.5e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:173(_add_module)': {'display_name': 'six.py:173(_add_module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [51, 51, 3.6e-05, 3.6e-05]}, 'stats': [51, 51, 3.6e-05, 3.6e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:696(__init__)': {'display_name': 'geometry.py:696(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:792(__init__)': [1, 1, 6e-06, 5.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:736(__deepcopy__)': [88, 88, 0.000432, 0.003156]}, 'stats': [89, 89, 0.00043799999999999997, 0.003214], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:194(__init__)': [89, 89, 0.00035299999999999996, 0.0025759999999999997], '~:0(<isinstance>)': [89, 89, 4.6e-05, 4.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:714(add_dimension)': [89, 89, 0.00010999999999999999, 0.000154]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/cc.py:247(_CCExtension)': {'display_name': 'cc.py:247(_CCExtension)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/cc.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:31(name_op)': {'display_name': 'opcode.py:31(name_op)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:5(<module>)': [11, 11, 2e-06, 1.1e-05]}, 'stats': [11, 11, 2e-06, 1.1e-05], 'children': {"~:0(<method 'append' of 'list' objects>)": [11, 11, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:27(def_op)': [11, 11, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/psystem_2D_constants.py:1(<module>)': {'display_name': 'psystem_2D_constants.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/_internal.py:196(_getintp_ctype)': {'display_name': '_internal.py:196(_getintp_ctype)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ctypeslib.py:51(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:189(c_int)': {'display_name': '__init__.py:189(c_int)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:4(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/dist.py:34(Distribution)': {'display_name': 'dist.py:34(Distribution)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/dist.py:5(<module>)': [1, 1, 1.4e-05, 4.9999999999999996e-05]}, 'stats': [1, 1, 1.4e-05, 4.9999999999999996e-05], 'children': {'~:0(<map>)': [1, 1, 8e-06, 3.6e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/yacc.py:239(LRParser)': {'display_name': 'yacc.py:239(LRParser)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/yacc.py:62(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:534(get_dt)': {'display_name': 'solver.py:534(get_dt)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:100(step)': [4804, 4804, 0.018254, 0.047345]}, 'stats': [4804, 4804, 0.018254, 0.047345], 'children': {'~:0(<min>)': [4803, 4803, 0.001424, 0.001424], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/cfl.py:19(get_cached_max)': [4804, 4804, 0.00077, 0.00077], '~:0(<max>)': [4803, 4803, 0.001277, 0.001277], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:140(__setattr__)': [21, 21, 1.9999999999999998e-05, 2.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:530(get_dt_new)': [4803, 4803, 0.012858999999999999, 0.025591]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeinfer.py:25(TypeVar)': {'display_name': 'typeinfer.py:25(TypeVar)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeinfer.py:13(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:211(ListNe)': {'display_name': 'listdecl.py:211(ListNe)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:70(CCompilerError)': {'display_name': 'errors.py:70(CCompilerError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:9(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pythonapi.py:102(EnvironmentManager)': {'display_name': 'pythonapi.py:102(EnvironmentManager)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pythonapi.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:686(SafeConfigParser)': {'display_name': 'ConfigParser.py:686(SafeConfigParser)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:88(<module>)': [1, 1, 2e-06, 0.000138]}, 'stats': [1, 1, 2e-06, 0.000138], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [1, 1, 1e-06, 0.000136]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/base.py:1(<module>)': {'display_name': 'base.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/decorators.py:1(<module>)': [1, 1, 0.000954, 0.001126]}, 'stats': [1, 1, 0.000954, 0.001126], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/base.py:203(ClassBuilder)': [1, 1, 4.9999999999999996e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:80(lower_setattr_generic)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/base.py:59(JitClassType)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:545(register_attr)': [1, 1, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:51(decorate)': [1, 1, 3e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/base.py:253(ClassAttribute)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/base.py:24(InstanceModel)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:75(decorate)': [1, 1, 1e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/boxing.py:4(<module>)': [1, 1, 5.4e-05, 8.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:24(lower)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [1, 1, 4e-06, 3.5e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/base.py:39(InstanceDataModel)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:56(lower_getattr_generic)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:33(decorate)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/manager.py:16(register)': [3, 3, 4e-06, 1.9999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/base.py:175(ConstructorTemplate)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:236(enable_object_caching)': {'display_name': 'codegen.py:236(enable_object_caching)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:327(_unserialize)': [6, 6, 1.3e-05, 1.3e-05]}, 'stats': [6, 6, 1.3e-05, 1.3e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cmathimpl.py:3(<module>)': {'display_name': 'cmathimpl.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:1(<module>)': [1, 1, 0.000997, 0.001372]}, 'stats': [1, 1, 0.000997, 0.001372], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/mathimpl.py:3(<module>)': [1, 1, 7.099999999999999e-05, 0.000354], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:18(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cmathimpl.py:99(intrinsic_complex_unary)': [4, 4, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:24(lower)': [22, 22, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:33(decorate)': [22, 22, 7e-06, 9.999999999999999e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:56(ChainMap)': {'display_name': 'singledispatch_helpers.py:56(ChainMap)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:4(<module>)': [1, 1, 9.999999999999999e-05, 0.000117]}, 'stats': [1, 1, 9.999999999999999e-05, 0.000117], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:29(recursive_repr)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:32(decorating_function)': [1, 1, 4e-06, 1.6e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:4(<module>)': {'display_name': 'singledispatch_helpers.py:4(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch.py:4(<module>)': [1, 1, 5.4999999999999995e-05, 0.000251]}, 'stats': [1, 1, 5.4999999999999995e-05, 0.000251], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:163(Support)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:86(__new__)': [1, 1, 1.9999999999999998e-05, 7.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:56(ChainMap)': [1, 1, 9.999999999999999e-05, 0.000117], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:152(MappingProxyType)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/stride_tricks.py:15(DummyArray)': {'display_name': 'stride_tricks.py:15(DummyArray)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/stride_tricks.py:7(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/_utils.py:22(register)': {'display_name': '_utils.py:22(register)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:166(name)': [83, 83, 6.3e-05, 0.000193]}, 'stats': [83, 83, 6.3e-05, 0.000193], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/_utils.py:14(is_used)': [83, 83, 5.3e-05, 0.000113], "~:0(<method 'add' of 'set' objects>)": [83, 83, 1.7e-05, 1.7e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:20(DistutilsClassError)': {'display_name': 'errors.py:20(DistutilsClassError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:9(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/__version__.py:1(<module>)': {'display_name': '__version__.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/__init__.py:1(<module>)': [1, 1, 1.9999999999999998e-05, 1.9999999999999998e-05]}, 'stats': [1, 1, 1.9999999999999998e-05, 1.9999999999999998e-05], 'children': {'~:0(<locals>)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/__init__.py:15(<module>)': {'display_name': '__init__.py:15(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/__init__.py:106(<module>)': [1, 1, 0.001125, 0.0023959999999999997]}, 'stats': [1, 1, 0.001125, 0.0023959999999999997], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/polynomial.py:55(<module>)': [1, 1, 0.000357, 0.0006789999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/hermite.py:59(<module>)': [1, 1, 2.3e-05, 0.000103], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/laguerre.py:59(<module>)': [1, 1, 2.2e-05, 0.00010899999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/hermite_e.py:59(<module>)': [1, 1, 2.1e-05, 9.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/nosetester.py:179(__init__)': [2, 2, 9.999999999999999e-06, 2.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/chebyshev.py:87(<module>)': [1, 1, 2.9e-05, 0.00010499999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/legendre.py:83(<module>)': [1, 1, 7.8e-05, 0.000155]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:4(<module>)': {'display_name': 'arrayobj.py:4(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:1(<module>)': [1, 1, 0.001717, 0.002851]}, 'stats': [1, 1, 0.001717, 0.002851], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:43(lower_getattr)': [23, 23, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:99(decorate)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:24(lower)': [57, 57, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:51(decorate)': [25, 25, 8e-06, 4.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:819(FancyIndexer)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:680(BooleanArrayIndexer)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:276(iternext_impl)': [4, 4, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:33(decorate)': [57, 57, 1.8999999999999998e-05, 2.4999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/slicing.py:3(<module>)': [1, 1, 7.7e-05, 0.00014199999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:509(Indexer)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:626(IntegerArrayIndexer)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:754(SliceIndexer)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:91(lower_cast)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/quicksort.py:2(<module>)': [1, 1, 1.6e-05, 0.0008579999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:80(lower_setattr_generic)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:599(IntegerIndexer)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:552(EntireIndexer)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [2, 2, 4.9999999999999996e-06, 3.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:56(lower_getattr_generic)': [2, 2, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:75(decorate)': [1, 1, 1e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/ufuncbuilder.py:22(UFuncTargetOptions)': {'display_name': 'ufuncbuilder.py:22(UFuncTargetOptions)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/ufuncbuilder.py:2(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:863(NPDatetimeModel)': {'display_name': 'models.py:863(NPDatetimeModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:45(TimedeltaCmpOp)': {'display_name': 'npdatetime.py:45(TimedeltaCmpOp)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/funcdesc.py:183(ExternalFunctionDescriptor)': {'display_name': 'funcdesc.py:183(ExternalFunctionDescriptor)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/funcdesc.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:436(Module_six_moves_urllib_robotparser)': {'display_name': 'six.py:436(Module_six_moves_urllib_robotparser)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '~:0(<math.sqrt>)': {'display_name': '~:0(<math.sqrt>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/random.py:40(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '~:0(<numba._dynfunc.make_function>)': {'display_name': '~:0(<numba._dynfunc.make_function>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:134(get_executable)': [6, 6, 9.999999999999999e-06, 9.999999999999999e-06]}, 'stats': [6, 6, 9.999999999999999e-06, 9.999999999999999e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/shutil.py:34(SpecialFileError)': {'display_name': 'shutil.py:34(SpecialFileError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/shutil.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:581(RangeType)': {'display_name': 'types.py:581(RangeType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:514(_customize_tm_options)': {'display_name': 'codegen.py:514(_customize_tm_options)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:399(_init)': [1, 1, 3e-06, 1.8999999999999998e-05]}, 'stats': [1, 1, 3e-06, 1.8999999999999998e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:76(__del__)': [1, 1, 0.0, 1e-06], "~:0(<method 'append' of 'list' objects>)": [1, 1, 1e-06, 1e-06], "~:0(<method 'join' of 'str' objects>)": [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:21(get_host_cpu_name)': [1, 1, 8e-06, 1.3e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:95(IterNext)': {'display_name': 'builtins.py:95(IterNext)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1137(debug)': {'display_name': '__init__.py:1137(debug)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:553(evolve_to_time)': [4804, 4804, 0.0037489999999999997, 0.012591]}, 'stats': [4804, 4804, 0.0037489999999999997, 0.012591], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1352(isEnabledFor)': [4804, 4804, 0.004615, 0.008842]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:411(descr_prototype)': {'display_name': 'values.py:411(descr_prototype)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:437(descr)': [8, 8, 4.9e-05, 0.00041999999999999996]}, 'stats': [8, 8, 4.9e-05, 0.00041999999999999996], 'children': {"~:0(<method 'join' of 'str' objects>)": [16, 16, 3.9999999999999996e-05, 0.000213], "~:0(<method 'format' of 'str' objects>)": [8, 8, 2.3e-05, 5.4999999999999995e-05], '~:0(<locals>)': [8, 8, 1.1e-05, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:174(get_reference)': [8, 8, 1.1e-05, 2.4e-05], '~:0(<print>)': [8, 8, 2.4999999999999998e-05, 6.3e-05], '~:0(<any>)': [8, 8, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1292(ListPayload)': {'display_name': 'types.py:1292(ListPayload)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1689(<lambda>)': {'display_name': 'types.py:1689(<lambda>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [6, 6, 9.999999999999999e-06, 1.1e-05]}, 'stats': [6, 6, 9.999999999999999e-06, 1.1e-05], 'children': {'~:0(<globals>)': [6, 6, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/interpreter.py:63(YieldPoint)': {'display_name': 'interpreter.py:63(YieldPoint)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/interpreter.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:45(LinkerError)': {'display_name': 'driver.py:45(LinkerError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:12(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:234(Compound)': {'display_name': 'c_ast.py:234(Compound)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '<string>:1(Indexing)': {'display_name': '<string>:1(Indexing)', 'callers': {'<string>:1(<module>)': [1, 1, 8e-06, 8e-06]}, 'stats': [1, 1, 8e-06, 8e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:567(compile)': {'display_name': 'sre_compile.py:567(compile)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:230(_compile)': [87, 87, 0.000457, 0.032278]}, 'stats': [87, 87, 0.000457, 0.032278], 'children': {"~:0(<method 'items' of 'dict' objects>)": [87, 87, 1.8999999999999998e-05, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:546(isstring)': [87, 87, 3.7999999999999995e-05, 4.4999999999999996e-05], '~:0(<_sre.compile>)': [87, 87, 0.000179, 0.000179], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:706(parse)': [87, 87, 0.000435, 0.016742], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:552(_code)': [87, 87, 0.00018999999999999998, 0.014835999999999998]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:137(__len__)': {'display_name': 'sre_parse.py:137(__len__)', 'callers': {'~:0(<len>)': [381, 381, 9.2e-05, 0.000116], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:433(_compile_info)': [24, 24, 4e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:395(_parse)': [927, 927, 0.000257, 0.000335], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:317(_parse_sub)': [112, 112, 3.2999999999999996e-05, 4.2999999999999995e-05]}, 'stats': [1444, 1444, 0.000386, 0.000503], 'children': {'~:0(<len>)': [1444, 1444, 0.000117, 0.000117]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:530(gep)': {'display_name': 'builder.py:530(gep)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:796(gep)': [1, 1, 4.9999999999999996e-06, 3.7e-05]}, 'stats': [1, 1, 4.9999999999999996e-06, 3.7e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:89(block)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:413(__init__)': [1, 1, 7e-06, 2.9999999999999997e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:209(_insert)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:420(CmpOpIsNot)': {'display_name': 'builtins.py:420(CmpOpIsNot)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:362(__repr__)': {'display_name': 'values.py:362(__repr__)', 'callers': {"~:0(<method 'format' of 'str' objects>)": [8, 8, 1.8999999999999998e-05, 3.2e-05]}, 'stats': [8, 8, 1.8999999999999998e-05, 3.2e-05], 'children': {'~:0(<sorted>)': [8, 8, 1.1e-05, 1.1e-05], "~:0(<method 'join' of 'str' objects>)": [8, 8, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:353(alignstack)': [8, 8, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:83(LinkError)': {'display_name': 'errors.py:83(LinkError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:9(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:955(PlaceHolder)': {'display_name': '__init__.py:955(PlaceHolder)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:24(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:853(SliceModel)': {'display_name': 'models.py:853(SliceModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:542(Event)': {'display_name': 'threading.py:542(Event)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:647(__init__)': [1, 1, 3e-06, 1.8e-05]}, 'stats': [1, 1, 3e-06, 1.8e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:561(__init__)': [1, 1, 4e-06, 1.4999999999999999e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/burgers_2D_constants.py:1(<module>)': {'display_name': 'burgers_2D_constants.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': {'display_name': 'templates.py:107(signature)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cmathdecl.py:12(CMath_unary)': [2, 2, 2e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:133(Random_nullary_distribution)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:80(Math_copysign)': [2, 2, 3e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:157(<genexpr>)': [4, 4, 7e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:105(Random_binary_distribution)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cmathdecl.py:67(Cmath_rect)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/stubs.py:3(<module>)': [1, 1, 4e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:38(Random_randint)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:66(Numpy_negative_binomial)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:155(__call__)': [1, 1, 3e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:130(Math_ldexp)': [2, 2, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:72(Numpy_poisson)': [2, 2, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cmathdecl.py:31(CMath_predicate)': [2, 2, 3e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:268(BitwiseInvert)': [9, 9, 1.3e-05, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:98(Math_predicate)': [4, 4, 4e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:77(Numpy_exponential)': [2, 2, 3e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:204(BinOpFloorDiv)': [2, 2, 1e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:47(Random_randrange)': [3, 3, 3e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:162(BinOp)': [4, 4, 7e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:188(BinOpMod)': [2, 2, 4e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:83(Numpy_hypergeometric)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:144(Random_triangular)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:640(Round)': [4, 4, 9e-06, 1.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:64(Range)': [9, 9, 1.1e-05, 1.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:114(Math_pow)': [4, 4, 6e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:311(UnorderedCmpOp)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:39(Abs)': [8, 8, 9e-06, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:33(Random_random)': [1, 1, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:123(Math_frexp)': [2, 2, 3e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cmathdecl.py:51(Cmath_phase)': [2, 2, 3e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:57(Math_converter)': [5, 5, 3e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:43(Math_atan2)': [4, 4, 7e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:277(UnaryOp)': [12, 12, 1.4e-05, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:294(UnaryNot)': [13, 13, 6e-06, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:42(Random_randint)': [2, 2, 3e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:304(OrderedCmpOp)': [11, 11, 1.1e-05, 1.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:48(Slice)': [9, 9, 9e-06, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:96(Numpy_gamma)': [2, 2, 4e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:87(Numpy_normal)': [3, 3, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:195(BinOpTrueDiv)': [8, 8, 9e-06, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cmathdecl.py:43(Cmath_log)': [4, 4, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:29(Random_getrandbits)': [1, 1, 6e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:10(Math_unary)': [4, 4, 4e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:211(BinOpPower)': [12, 12, 1.1e-05, 1.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:53(Random_seed)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:101(Random_ternary_distribution)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:122(Random_unary_distribution)': [1, 1, 3e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:60(Numpy_geometric)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:88(Math_hypot)': [4, 4, 4e-06, 4.9999999999999996e-06]}, 'stats': [181, 181, 0.00022999999999999998, 0.00031999999999999997], 'children': {"~:0(<method 'pop' of 'dict' objects>)": [181, 181, 1.4e-05, 1.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:26(__init__)': [181, 181, 7.599999999999999e-05, 7.599999999999999e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/sharpclaw/solver.py:28(SharpClawSolver)': {'display_name': 'solver.py:28(SharpClawSolver)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/sharpclaw/solver.py:7(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:118(BaseContext)': {'display_name': 'base.py:118(BaseContext)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:1(<module>)': [1, 1, 2.7e-05, 2.7e-05]}, 'stats': [1, 1, 2.7e-05, 2.7e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/listobj.py:3(<module>)': {'display_name': 'listobj.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:1(<module>)': [1, 1, 0.000117, 0.000212]}, 'stats': [1, 1, 0.000117, 0.000212], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:99(decorate)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/listobj.py:326(ListIterInstance)': [1, 1, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:276(iternext_impl)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:91(lower_cast)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/listobj.py:140(ListInstance)': [1, 1, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/listobj.py:56(_ListPayloadMixin)': [1, 1, 5.1e-05, 5.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:24(lower)': [39, 39, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:33(decorate)': [39, 39, 2.2e-05, 2.4e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:88(num_aux)': {'display_name': 'state.py:88(num_aux)', 'callers': {'~:0(<getattr>)': [4804, 4804, 0.001576, 0.001576], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:357(_apply_bcs)': [14415, 14415, 0.003949, 0.003949], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:283(step_hyperbolic)': [4804, 4804, 0.0016389999999999998, 0.0016389999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:324(_allocate_bc_arrays)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:295(__deepcopy__)': [22, 22, 7e-06, 7e-06]}, 'stats': [24046, 24046, 0.0071719999999999996, 0.0071719999999999996], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/common.py:13(_decode_string)': {'display_name': 'common.py:13(_decode_string)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:82(__str__)': [28, 28, 4e-06, 4e-06]}, 'stats': [28, 28, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:3(<module>)': {'display_name': 'cgutils.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/callwrapper.py:1(<module>)': [1, 1, 0.00013, 0.000749]}, 'stats': [1, 1, 0.00013, 0.000749], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:414(IfBranchObj)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:62(int)': [5, 5, 8e-06, 8.499999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:54(contextmanager)': [6, 6, 8e-06, 3.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:238(Structure)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:207(ValueStructProxy)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:103(int)': [4, 4, 4e-06, 1.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:222(DataStructProxy)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:293(namedtuple)': [1, 1, 0.000383, 0.000472], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:77(_StructProxy)': [1, 1, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:38(_ScalarIndexingHelper)': {'display_name': 'npyimpl.py:38(_ScalarIndexingHelper)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:101(get_identified_types)': {'display_name': 'module.py:101(get_identified_types)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:114(__repr__)': [10, 10, 8e-06, 8e-06]}, 'stats': [10, 10, 8e-06, 8e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:36(__init__)': {'display_name': '_weakrefset.py:36(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:148(__subclasscheck__)': [18, 18, 2.9e-05, 2.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:86(__new__)': [327, 327, 0.000766, 0.000766]}, 'stats': [345, 345, 0.0007949999999999999, 0.0007949999999999999], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:42(set_unsafe_convert)': {'display_name': 'typeconv.py:42(set_unsafe_convert)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:99(_cb_update)': [119, 119, 7.2e-05, 0.00058]}, 'stats': [119, 119, 7.2e-05, 0.00058], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:31(set_compatible)': [119, 119, 0.00015199999999999998, 0.000508]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:304(ICMPInstr)': {'display_name': 'instructions.py:304(ICMPInstr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:66(DistutilsByteCompileError)': {'display_name': 'errors.py:66(DistutilsByteCompileError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:9(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:872(SMTPHandler)': {'display_name': 'handlers.py:872(SMTPHandler)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:24(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:87(args)': {'display_name': 'instructions.py:87(args)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:64(__init__)': [3, 3, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:101(_descr)': [3, 3, 3e-06, 3e-06]}, 'stats': [6, 6, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:192(ConditionalBranch)': {'display_name': 'instructions.py:192(ConditionalBranch)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/__init__.py:80(AuthenticationError)': {'display_name': '__init__.py:80(AuthenticationError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/__init__.py:44(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:356(key)': {'display_name': 'types.py:356(key)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:102(__eq__)': [26, 26, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:99(__hash__)': [26, 26, 6e-06, 6e-06]}, 'stats': [52, 52, 1.2e-05, 1.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:243(DatetimeCmpNe)': {'display_name': 'npdatetime.py:243(DatetimeCmpNe)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:822(__deepcopy__)': {'display_name': 'geometry.py:822(__deepcopy__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/copy.py:145(deepcopy)': [11, 11, 7.3e-05, 0.007567]}, 'stats': [11, 11, 7.3e-05, 0.007567], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/copy.py:145(deepcopy)': [22, 22, 4.4999999999999996e-05, 0.007424999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:792(__init__)': [22, 22, 5.9e-05, 6.9e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/__init__.py:1(<module>)': {'display_name': '__init__.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/platform.py:1(<module>)': [1, 1, 0.004155, 0.01521]}, 'stats': [1, 1, 0.004155, 0.01521], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [6, 6, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/unixccompiler.py:4(<module>)': [1, 1, 0.000798, 0.0008439999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/npy_pkg_config.py:1(<module>)': [1, 1, 0.000117, 0.00037999999999999997], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [6, 6, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/info.py:3(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/ccompiler.py:1(<module>)': [1, 1, 0.002035, 0.009767999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/nosetester.py:179(__init__)': [2, 2, 1.4e-05, 2.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/__config__.py:3(<module>)': [1, 1, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/__version__.py:1(<module>)': [1, 1, 1.9999999999999998e-05, 1.9999999999999998e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:266(name)': {'display_name': '__init__.py:266(name)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:506(<genexpr>)': [45, 45, 1.1e-05, 1.1e-05]}, 'stats': [45, 45, 1.1e-05, 1.1e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:15(__init__)': {'display_name': 'typeconv.py:15(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/rules.py:1(<module>)': [1, 1, 4.9999999999999996e-06, 1.1e-05]}, 'stats': [1, 1, 4.9999999999999996e-06, 1.1e-05], 'children': {'~:0(<numba.typeconv._typeconv.new_type_manager>)': [1, 1, 6e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:111(close)': {'display_name': 'ffi.py:111(close)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:151(__exit__)': [3, 3, 8e-06, 3.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:140(link_in)': [1, 1, 2e-06, 1.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:154(__del__)': [19, 19, 3.2e-05, 5.9999999999999995e-05]}, 'stats': [23, 23, 4.2e-05, 0.000112], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:121(detach)': [23, 23, 2.2e-05, 2.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:18(_dispose)': [1, 1, 1.4999999999999999e-05, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:89(_dispose)': [2, 2, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:66(_dispose)': [1, 1, 1.4999999999999999e-05, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:202(_dispose)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:130(_dispose)': [10, 10, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:193(_dispose)': [1, 1, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1539(ClassType)': {'display_name': 'types.py:1539(ClassType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:369(StaticSetItemRecord)': {'display_name': 'arraydecl.py:369(StaticSetItemRecord)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:66(Numpy_negative_binomial)': {'display_name': 'randomdecl.py:66(Numpy_negative_binomial)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:1(<module>)': [1, 1, 1e-06, 3e-06]}, 'stats': [1, 1, 1e-06, 3e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [1, 1, 1e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:318(BaseStructType)': {'display_name': 'types.py:318(BaseStructType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:3(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:165(PrimitiveModel)': {'display_name': 'models.py:165(PrimitiveModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:553(evolve_to_time)': {'display_name': 'solver.py:553(evolve_to_time)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:276(run)': [10, 10, 0.102125, 6.8960349999999995]}, 'stats': [10, 10, 0.102125, 6.8960349999999995], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/cfl.py:19(get_cached_max)': [4804, 4804, 0.000701, 0.000701], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:140(__setattr__)': [4804, 4804, 0.00968, 0.012048], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:671(write_gauge_values)': [4803, 4803, 0.03424, 0.048576999999999995], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:78(__setattr__)': [4804, 4804, 0.003823, 0.012771999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:523(accept_reject_step)': [4804, 4804, 0.003421, 0.004017], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:100(step)': [4804, 4804, 0.042187999999999996, 6.628734], "~:0(<method 'copy' of 'numpy.ndarray' objects>)": [4804, 4804, 0.045734, 0.045734], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:87(state)': [4804, 4804, 0.001148, 0.001148], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:68(__getattr__)': [19234, 19234, 0.012421999999999999, 0.026022999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1137(debug)': [4804, 4804, 0.0037489999999999997, 0.012591], '~:0(<max>)': [4803, 4803, 0.001565, 0.001565]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': {'display_name': 'six.py:180(find_module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:24(<module>)': [12, 12, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:1(<module>)': [4, 4, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/decoder.py:2(<module>)': [5, 5, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/plot.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/__init__.py:99(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/lowering.py:1(<module>)': [3, 3, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:11(<module>)': [4, 4, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/__init__.py:3(<module>)': [5, 5, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/operatorimpl.py:3(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/curses/__init__.py:11(<module>)': [6, 6, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/nrt.py:1(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/__init__.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/dist.py:5(<module>)': [6, 6, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:34(run_serialized)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/externals.py:3(<module>)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:5(<module>)': [6, 6, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/limiters/__init__.py:8(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:5(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/extension.py:4(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/__init__.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/__init__.py:4(<module>)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/annotations/type_annotations.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/sysconfig.py:395(_init_posix)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/limiters/tvd.py:64(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/__init__.py:1(<module>)': [12, 12, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/kernelapi.py:4(<module>)': [3, 3, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/sharpclaw/solver.py:7(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/dir_util.py:3(<module>)': [5, 5, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/decorators.py:1(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/__init__.py:7(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/llvm_types.py:1(<module>)': [4, 4, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/exec_command.py:52(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/ee.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:4(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/__init__.py:1(<module>)': [6, 6, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/__init__.py:3(<module>)': [3, 3, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:12(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/limiters/reconstruct.py:10(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:60(fileConfig)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:1(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/io_support.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:25(<module>)': [7, 7, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:2(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/log.py:2(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/slicing.py:3(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/ccompiler.py:1(<module>)': [3, 3, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/vectorizers.py:1(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dummyarray.py:1(<module>)': [5, 5, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/ufunc_db.py:46(_fill_ufunc_db)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/dep_util.py:5(<module>)': [1, 1, 0.0, 0.0], 'shocksine.py:23(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/util.py:5(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/nvvm.py:3(<module>)': [3, 3, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:9(<module>)': [5, 5, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/fancy_getopt.py:9(<module>)': [3, 3, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/servicelib/__init__.py:1(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/cc.py:1(<module>)': [6, 6, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/device_init.py:1(<module>)': [6, 6, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/array_exprs.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/archive_util.py:4(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/platform.py:1(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:3(<module>)': [3, 3, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/core.py:7(<module>)': [5, 5, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/__init__.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/kernel.py:1(<module>)': [3, 3, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/__init__.py:3(<module>)': [3, 3, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/static_getitem.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:3(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/__init__.py:9(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [62, 62, 2.4e-05, 2.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/ufuncbuilder.py:2(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/libs.py:1(<module>)': [8, 8, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/__init__.py:1(<module>)': [4, 4, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/dufunc.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/registry.py:1(<module>)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/base.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/euler_1D_py.py:33(<module>)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/decorators.py:1(<module>)': [4, 4, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/__init__.py:2(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/builtins.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pythonapi.py:1(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/cmd.py:5(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/spawn.py:7(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/callwrapper.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/misc_util.py:313(terminal_has_colors)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/config.py:5(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/stubs.py:3(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/misc_util.py:1(<module>)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/api.py:3(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:1(<module>)': [15, 15, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:618(_info_from_argv)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/command/build_ext.py:5(<module>)': [8, 8, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/__init__.py:1(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/decorators.py:1(<module>)': [1, 1, 1e-06, 1e-06], '~:0(<__import__>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/interpreter.py:1(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/email/__init__.py:5(<module>)': [3, 3, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ast.py:27(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/array.py:1(<module>)': [4, 4, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/scanner.py:2(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/util.py:16(get_platform)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:45(<module>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/cudadrv/__init__.py:1(<module>)': [5, 5, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cmathimpl.py:3(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/compiler.py:1(<module>)': [10, 10, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:420(_init)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:84(run_app_from_main)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/context.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/unixccompiler.py:4(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:1(<module>)': [18, 18, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/decorators.py:3(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:24(<module>)': [6, 6, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devicearray.py:5(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:12(<module>)': [3, 3, 1e-06, 1e-06]}, 'stats': [395, 395, 0.000136, 0.000136], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:318(ConvertingDict)': {'display_name': 'config.py:318(ConvertingDict)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:25(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '~:0(<math.log>)': {'display_name': '~:0(<math.log>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/random.py:40(<module>)': [2, 2, 1.2e-05, 1.2e-05]}, 'stats': [2, 2, 1.2e-05, 1.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/pickle.py:58(PickleError)': {'display_name': 'pickle.py:58(PickleError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/pickle.py:25(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:466(ExtractValue)': {'display_name': 'instructions.py:466(ExtractValue)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/log.py:16(__init__)': {'display_name': 'log.py:16(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/log.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/ccompiler.py:1(<module>)': {'display_name': 'ccompiler.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/__init__.py:1(<module>)': [1, 1, 0.002035, 0.009767999999999999]}, 'stats': [1, 1, 0.002035, 0.009767999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [3, 3, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/exec_command.py:52(<module>)': [1, 1, 0.00029299999999999997, 0.000312], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/log.py:2(<module>)': [1, 1, 0.001415, 0.005619], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/version.py:27(<module>)': [1, 1, 2.3e-05, 0.001083], "~:0(<method 'get' of 'dict' objects>)": [6, 6, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [3, 3, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [4, 4, 1.1e-05, 0.000703], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/ccompiler.py:23(replace_method)': [8, 8, 9.999999999999999e-06, 1.2e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/weakref.py:110(clear)': {'display_name': 'weakref.py:110(clear)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:60(fileConfig)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {"~:0(<method 'clear' of 'dict' objects>)": [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:120(__eq__)': {'display_name': 'values.py:120(__eq__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:18(add_metadata)': [8, 8, 1.4e-05, 9.999999999999999e-05]}, 'stats': [8, 8, 1.4e-05, 9.999999999999999e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:97(__str__)': [16, 16, 6e-06, 8.499999999999999e-05], '~:0(<isinstance>)': [8, 8, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/sharpclaw/solver.py:675(SharpClawSolver1D)': {'display_name': 'solver.py:675(SharpClawSolver1D)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/sharpclaw/solver.py:7(<module>)': [1, 1, 3e-06, 4e-06]}, 'stats': [1, 1, 3e-06, 4e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:26(add_parent_doc)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:193(_dispose)': {'display_name': 'module.py:193(_dispose)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:111(close)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:781(ArrayIterator)': {'display_name': 'types.py:781(ArrayIterator)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:627(ThreadingUnixStreamServer)': {'display_name': 'SocketServer.py:627(ThreadingUnixStreamServer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:120(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/codecs.py:92(__new__)': {'display_name': 'codecs.py:92(__new__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/ascii.py:41(getregentry)': [1, 1, 4e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/utf_8.py:33(getregentry)': [1, 1, 4e-06, 4.9999999999999996e-06]}, 'stats': [2, 2, 8e-06, 9.999999999999999e-06], 'children': {'~:0(<built-in method __new__ of type object at 0x100186920>)': [2, 2, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:148(getLevelName)': {'display_name': '__init__.py:148(getLevelName)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:237(__init__)': [11, 11, 2.4e-05, 2.4999999999999998e-05]}, 'stats': [11, 11, 2.4e-05, 2.4999999999999998e-05], 'children': {"~:0(<method 'get' of 'dict' objects>)": [11, 11, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/__future__.py:74(_Feature)': {'display_name': '__future__.py:74(_Feature)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/__future__.py:48(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:147(DuplicateSectionError)': {'display_name': 'ConfigParser.py:147(DuplicateSectionError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:88(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/__init__.py:74(BufferTooShort)': {'display_name': '__init__.py:74(BufferTooShort)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/__init__.py:44(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:622(Min)': {'display_name': 'builtins.py:622(Min)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:91(__init__)': {'display_name': 'values.py:91(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:156(set_weights)': [6, 6, 4.9999999999999996e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/randomimpl.py:3(<module>)': [1, 1, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:119(null)': [4, 4, 7e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:115(literal_struct)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:95(_define_atomic_inc_dec)': [2, 2, 3e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:62(_define_nrt_decref)': [1, 1, 0.0, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:103(int)': [59, 59, 6.8e-05, 9.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/callconv.py:35(_const_int)': [5, 5, 2e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:135(array)': [4, 4, 1e-06, 4.9999999999999996e-06]}, 'stats': [83, 83, 9.099999999999999e-05, 0.000134], 'children': {'~:0(<isinstance>)': [166, 166, 4.2999999999999995e-05, 4.2999999999999995e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/suite.py:78(TestSuite)': {'display_name': 'suite.py:78(TestSuite)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/suite.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:82(_ModuleCompiler)': {'display_name': 'compiler.py:82(_ModuleCompiler)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:2(<module>)': [1, 1, 9.999999999999999e-06, 2.2e-05]}, 'stats': [1, 1, 9.999999999999999e-06, 2.2e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:74(pointer)': [2, 2, 3e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:82(struct)': [2, 2, 3e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:70(wrapped)': {'display_name': 'builder.py:70(wrapped)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:43(_define_nrt_incref)': [1, 1, 4.9999999999999996e-06, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:29(_define_nrt_meminfo_data)': [1, 1, 4.9999999999999996e-06, 2.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:62(_define_nrt_decref)': [1, 1, 3e-06, 2.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:122(_define_atomic_cas)': [1, 1, 4.9999999999999996e-06, 2.7e-05]}, 'stats': [4, 4, 1.8e-05, 9.9e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:189(__eq__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:343(__init__)': [4, 4, 4.9999999999999996e-06, 6.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:114(__eq__)': [3, 3, 6e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:89(block)': [4, 4, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:209(_insert)': [4, 4, 3e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/advection_2D_constants.py:1(<module>)': {'display_name': 'advection_2D_constants.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/io.py:73(RawIOBase)': {'display_name': 'io.py:73(RawIOBase)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/io.py:34(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, "~:0(<method 'format' of 'str' objects>)": {'display_name': "~:0(<method 'format' of 'str' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:380(descr)': [1, 1, 1.3e-05, 1.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:201(get_reference)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:178(descr)': [5, 5, 9e-06, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/encoder.py:2(<module>)': [32, 32, 2.1e-05, 2.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:521(descr)': [13, 13, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:97(__str__)': [28, 28, 4.4999999999999996e-05, 8.099999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:411(descr_prototype)': [8, 8, 2.3e-05, 5.4999999999999995e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:101(_descr)': [6, 6, 9.999999999999999e-06, 5.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:482(descr)': [4, 4, 1.7e-05, 6.599999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:148(__str__)': [23, 23, 1.8e-05, 1.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:272(descr)': [1, 1, 4e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:147(<genexpr>)': [10, 10, 1.4999999999999999e-05, 2.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:145(descr)': [4, 4, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:95(_define_atomic_inc_dec)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:363(<genexpr>)': [119, 119, 0.000169, 0.000169], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:293(descr)': [3, 3, 6e-06, 1.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:586(descr)': [2, 2, 9.999999999999999e-06, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:346(descr)': [4, 4, 1.1e-05, 5.4999999999999995e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:108(__str__)': [42, 32, 6.8e-05, 0.000169], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:102(<genexpr>)': [3, 3, 4e-06, 1.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:243(descr)': [3, 3, 4e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/add_newdocs.py:10(<module>)': [2, 2, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:37(_wrapname)': [92, 92, 4.2e-05, 4.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:43(descr)': [2, 2, 6e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:360(_numpy_redirect)': [13, 13, 9.999999999999999e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:563(descr)': [2, 2, 9.999999999999999e-06, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:363(descr)': [1, 1, 2e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:479(__str__)': [13, 13, 1.8999999999999998e-05, 5.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:431(descr)': [3, 3, 4e-06, 2.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:114(__repr__)': [10, 10, 3.4e-05, 3.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:304(_numpy_ufunc)': [79, 79, 5.4999999999999995e-05, 5.4999999999999995e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:23(_stringify_metatdata)': [3, 3, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:156(__str__)': [3, 3, 4e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:293(namedtuple)': [30, 30, 0.000269, 0.000269], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:361(<genexpr>)': [119, 119, 7.599999999999999e-05, 7.599999999999999e-05]}, 'stats': [642, 686, 0.000998, 0.001268], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:369(__str__)': [6, 6, 4.9999999999999996e-06, 8.999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:91(__str__)': [7, 7, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:156(__str__)': [3, 3, 7e-06, 3.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:186(__str__)': [96, 96, 0.00013199999999999998, 0.00013199999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:108(__str__)': [32, 31, 1.4e-05, 0.00017999999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:362(__repr__)': [8, 8, 1.8999999999999998e-05, 3.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:139(__str__)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:70(_is_dunder)': {'display_name': '__init__.py:70(_is_dunder)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:105(__setitem__)': [79, 79, 7.999999999999999e-05, 8.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:367(__getattr__)': [7, 7, 1.4e-05, 1.4999999999999999e-05]}, 'stats': [86, 86, 9.4e-05, 9.9e-05], 'children': {'~:0(<len>)': [29, 29, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/keyword.py:11(<module>)': {'display_name': 'keyword.py:11(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:1(<module>)': [1, 1, 6e-06, 6e-06]}, 'stats': [1, 1, 6e-06, 6e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:19(wrap)': {'display_name': 'builder.py:19(wrap)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:83(IRBuilder)': [18, 18, 2.3e-05, 0.000103]}, 'stats': [18, 18, 2.3e-05, 0.000103], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/functools.py:17(update_wrapper)': [18, 18, 3.7999999999999995e-05, 7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/functools.py:39(wraps)': [18, 18, 9.999999999999999e-06, 9.999999999999999e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:744(getargs)': {'display_name': 'inspect.py:744(getargs)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:804(getargspec)': [133, 133, 0.0005769999999999999, 0.0009019999999999999]}, 'stats': [133, 133, 0.0005769999999999999, 0.0009019999999999999], 'children': {'~:0(<range>)': [133, 133, 7.4e-05, 7.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:209(iscode)': [133, 133, 6.9e-05, 7.999999999999999e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/utils.py:68(__init__)': {'display_name': 'utils.py:68(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/utils.py:117(deprecate)': [2, 2, 2e-06, 2e-06]}, 'stats': [2, 2, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:42(Random_randint)': {'display_name': 'randomdecl.py:42(Random_randint)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:1(<module>)': [1, 1, 4.9999999999999996e-06, 9e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 9e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [2, 2, 3e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:490(get_disambiguator)': {'display_name': 'dispatcher.py:490(get_disambiguator)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:568(__init__)': [8, 8, 8e-06, 8e-06]}, 'stats': [8, 8, 8e-06, 8e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:235(BitwiseLeftShift)': {'display_name': 'builtins.py:235(BitwiseLeftShift)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:115(struct)': {'display_name': 'core.py:115(struct)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/llvm_types.py:1(<module>)': [1, 1, 3e-06, 1.1e-05]}, 'stats': [1, 1, 3e-06, 1.1e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:115(literal_struct)': [1, 1, 4e-06, 8e-06]}}, '~:0(<numpy.core.multiarray.set_typeDict>)': {'display_name': '~:0(<numpy.core.multiarray.set_typeDict>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/__init__.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6023(__new__)': {'display_name': 'core.py:6023(__new__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:21(<module>)': [1, 1, 2e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 2e-06, 4.9999999999999996e-06], 'children': {"~:0(<method 'view' of 'numpy.ndarray' objects>)": [1, 1, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/_utils.py:9(__init__)': {'display_name': '_utils.py:9(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/_utils.py:37(get_child)': [18, 18, 4.6e-05, 4.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/context.py:7(__init__)': [1, 1, 3e-06, 3e-06]}, 'stats': [19, 19, 4.9e-05, 4.9e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:101(Random_ternary_distribution)': {'display_name': 'randomdecl.py:101(Random_ternary_distribution)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:1(<module>)': [1, 1, 2e-06, 4e-06]}, 'stats': [1, 1, 2e-06, 4e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [1, 1, 1e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:138(_decorate_setattr)': {'display_name': 'imputils.py:138(_decorate_setattr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:38(_decorate_attr)': [2, 2, 3e-06, 3e-06]}, 'stats': [2, 2, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:111(_create_formatters)': {'display_name': 'config.py:111(_create_formatters)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:60(fileConfig)': [1, 1, 1.8e-05, 0.000175]}, 'stats': [1, 1, 1.8e-05, 0.000175], 'children': {'~:0(<len>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:391(__init__)': [2, 2, 2e-06, 2e-06], "~:0(<method 'split' of 'str' objects>)": [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:105(_strip_spaces)': [1, 1, 0.0, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:590(get)': [5, 5, 1.8e-05, 3.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:274(options)': [2, 2, 9e-06, 0.000112]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:256(__init__)': {'display_name': 'model.py:256(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:1(<module>)': [1, 1, 2e-06, 3e-06]}, 'stats': [1, 1, 2e-06, 3e-06], 'children': {"~:0(<method 'replace' of 'str' objects>)": [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:274(__init__)': {'display_name': 'values.py:274(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:375(__init__)': [8, 8, 2.2e-05, 0.00011399999999999999]}, 'stats': [8, 8, 2.2e-05, 0.00011399999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:138(__init__)': [8, 8, 2.2e-05, 9.2e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:230(_compile)': {'display_name': 're.py:230(_compile)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:143(search)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:173(findall)': [1, 1, 9.999999999999999e-06, 0.000192], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [88, 88, 0.001006, 0.032873], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:138(match)': [162, 162, 0.00015, 0.00053], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:148(sub)': [9, 9, 9.999999999999999e-06, 9.999999999999999e-06]}, 'stats': [261, 261, 0.001178, 0.033607], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:546(isstring)': [87, 87, 7.599999999999999e-05, 8.8e-05], '~:0(<isinstance>)': [87, 87, 5.4e-05, 5.4e-05], '~:0(<len>)': [87, 87, 9e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:567(compile)': [87, 87, 0.000457, 0.032278]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/utils.py:1579(WarningManager)': {'display_name': 'utils.py:1579(WarningManager)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/utils.py:4(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:43(lower_getattr)': {'display_name': 'imputils.py:43(lower_getattr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:56(lower_getattr_generic)': [6, 6, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/slicing.py:3(<module>)': [3, 3, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:4(<module>)': [23, 23, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/builtins.py:1(<module>)': [6, 6, 3e-06, 3e-06]}, 'stats': [38, 38, 1.3e-05, 1.3e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:41(ModuleRef)': {'display_name': 'module.py:41(ModuleRef)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:1(<module>)': [1, 1, 4.9999999999999996e-06, 7e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 7e-06], 'children': {"~:0(<method 'setter' of 'property' objects>)": [3, 3, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:98(_AssertRaisesContext)': {'display_name': 'case.py:98(_AssertRaisesContext)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1280(handle)': {'display_name': '__init__.py:1280(handle)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1259(_log)': [11, 11, 2.4e-05, 0.001658]}, 'stats': [11, 11, 2.4e-05, 0.001658], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:599(filter)': [11, 11, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1312(callHandlers)': [11, 11, 6.1e-05, 0.0016259999999999998]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:574(NumberClassAttribute)': {'display_name': 'builtins.py:574(NumberClassAttribute)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:105(Random_binary_distribution)': {'display_name': 'randomdecl.py:105(Random_binary_distribution)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:1(<module>)': [1, 1, 2e-06, 4e-06]}, 'stats': [1, 1, 2e-06, 4e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [1, 1, 1e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devicearray.py:49(DeviceNDArrayBase)': {'display_name': 'devicearray.py:49(DeviceNDArrayBase)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devicearray.py:5(<module>)': [1, 1, 4e-06, 4e-06]}, 'stats': [1, 1, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:194(__init__)': {'display_name': 'values.py:194(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:156(set_weights)': [3, 3, 6e-06, 3.2999999999999996e-05]}, 'stats': [3, 3, 6e-06, 3.2999999999999996e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:138(__init__)': [3, 3, 4.9999999999999996e-06, 2.7e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:285(ConvertingMixin)': {'display_name': 'config.py:285(ConvertingMixin)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:25(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1379(RootLogger)': {'display_name': '__init__.py:1379(RootLogger)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:24(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:289(setup)': {'display_name': 'solver.py:289(setup)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:199(setup)': [1, 1, 1e-06, 3e-06]}, 'stats': [1, 1, 1e-06, 3e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:140(__setattr__)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1365(Object)': {'display_name': 'types.py:1365(Object)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:206(match)': {'display_name': 'sre_parse.py:206(match)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:395(_parse)': [1431, 1431, 0.000434, 0.00091], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:317(_parse_sub)': [488, 488, 0.000137, 0.00023799999999999998]}, 'stats': [1919, 1919, 0.000571, 0.001148], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:193(__next)': [498, 498, 0.000488, 0.0005769999999999999]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pythonapi.py:71(_ReflectContext)': {'display_name': 'pythonapi.py:71(_ReflectContext)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pythonapi.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/util.py:336(ForkAwareThreadLock)': {'display_name': 'util.py:336(ForkAwareThreadLock)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/util.py:35(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:78(__setattr__)': {'display_name': 'solution.py:78(__setattr__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:235(__deepcopy__)': [11, 11, 1.1e-05, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:276(run)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:105(__init__)': [70, 70, 2.6e-05, 2.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:553(evolve_to_time)': [4804, 4804, 0.003823, 0.012771999999999999]}, 'stats': [4886, 4886, 0.003862, 0.012811], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:206(set_all_states)': [4804, 4804, 0.006671, 0.008949]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:793(OptionalAttribute)': {'display_name': 'builtins.py:793(OptionalAttribute)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:47(Random_randrange)': {'display_name': 'randomdecl.py:47(Random_randrange)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:1(<module>)': [1, 1, 4.9999999999999996e-06, 9e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 9e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [3, 3, 3e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1079(_MainThread)': {'display_name': 'threading.py:1079(_MainThread)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:747(_load_data)': {'display_name': 'dispatcher.py:747(_load_data)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:622(load_overload)': [6, 6, 0.000113, 0.014898]}, 'stats': [6, 6, 0.000113, 0.014898], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:699(_data_path)': [6, 6, 8e-06, 4.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/compiler.py:80(_rebuild)': [6, 6, 7e-05, 0.010721999999999999], "~:0(<method 'read' of 'file' objects>)": [6, 6, 0.0029519999999999998, 0.0029519999999999998], '~:0(<open>)': [6, 6, 0.000122, 0.000122], '~:0(<cPickle.loads>)': [6, 6, 0.000452, 0.000948]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/euler_3D_constants.py:1(<module>)': {'display_name': 'euler_3D_constants.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:361(TupleGe)': {'display_name': 'builtins.py:361(TupleGe)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, "~:0(<method 'remove' of 'list' objects>)": {'display_name': "~:0(<method 'remove' of 'list' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:85(closegroup)': [130, 130, 5.1e-05, 5.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:183(_install_loggers)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:621(_removeHandlerRef)': [1, 1, 0.0, 0.0]}, 'stats': [132, 132, 5.1e-05, 5.1e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/dufunc.py:1(<module>)': {'display_name': 'dufunc.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/decorators.py:1(<module>)': [1, 1, 0.0006789999999999999, 0.002238]}, 'stats': [1, 1, 0.0006789999999999999, 0.002238], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/dufunc.py:62(DUFunc)': [1, 1, 9.999999999999999e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/dufunc.py:11(DUFuncKernel)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/dufunc.py:43(DUFuncLowerer)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/ufuncbuilder.py:2(<module>)': [1, 1, 0.001352, 0.001545]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/polyutils.py:45(<module>)': {'display_name': 'polyutils.py:45(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/polynomial.py:55(<module>)': [1, 1, 7.8e-05, 7.9e-05]}, 'stats': [1, 1, 7.8e-05, 7.9e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/polyutils.py:79(PolyBase)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/polyutils.py:62(PolyError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/polyutils.py:58(RankWarning)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/polyutils.py:66(PolyDomainError)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:162(name)': {'display_name': 'values.py:162(name)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:56(add_global)': [24, 24, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:521(descr)': [13, 13, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:155(if_then)': [6, 6, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:174(get_reference)': [92, 92, 1.8e-05, 1.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:381(_make_cas_function)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:254(get_reference)': [4, 4, 2e-06, 2e-06]}, 'stats': [140, 140, 2.7e-05, 2.7e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:610(NdSort)': {'display_name': 'npydecl.py:610(NdSort)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/_endian.py:4(<module>)': {'display_name': '_endian.py:4(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:4(<module>)': [1, 1, 6.4e-05, 6.4e-05]}, 'stats': [1, 1, 6.4e-05, 6.4e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/_endian.py:49(BigEndianStructure)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/_endian.py:26(_swapped_meta)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:35(__init__)': {'display_name': 'dispatcher.py:35(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:262(__init__)': [8, 8, 0.0005679999999999999, 0.0013009999999999999]}, 'stats': [8, 8, 0.0005679999999999999, 0.0013009999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/typeconv.py:48(get_pointer)': [8, 8, 1.1e-05, 1.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:78(__iter__)': [53, 53, 1.2e-05, 1.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:215(__init__)': [8, 8, 1.4e-05, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:585(parameters)': [16, 16, 7.2e-05, 0.0005], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:38(__init__)': [8, 8, 3.5e-05, 6.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:109(values)': [8, 8, 3.1e-05, 4.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:506(__init__)': [8, 8, 5.4e-05, 5.6999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:70(_make_finalizer)': [8, 8, 1.2e-05, 1.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:278(kind)': [8, 8, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/bytecode.py:30(get_code_object)': [8, 8, 4.9999999999999996e-06, 9e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/UserDict.py:103(__contains__)': {'display_name': 'UserDict.py:103(__contains__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/UserDict.py:91(get)': [5, 5, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:260(_override_all_archs)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:277(_check_for_unavailable_sdk)': [9, 9, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/__init__.py:1(<module>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/util.py:16(get_platform)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/sysconfig.py:10(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:11(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [20, 20, 1.1e-05, 1.1e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:343(__init__)': {'display_name': 'instructions.py:343(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:70(wrapped)': [4, 4, 4.9999999999999996e-06, 6.7e-05]}, 'stats': [4, 4, 4.9999999999999996e-06, 6.7e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:13(__init__)': [4, 4, 1.8e-05, 6.2e-05]}}, '~:0(<numpy.core.multiarray.add_docstring>)': {'display_name': '~:0(<numpy.core.multiarray.add_docstring>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/function_base.py:3511(add_newdoc)': [270, 270, 8.8e-05, 8.8e-05]}, 'stats': [270, 270, 8.8e-05, 8.8e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/reduction.py:1(<module>)': {'display_name': 'reduction.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/__init__.py:1(<module>)': [1, 1, 1.2e-05, 2.4999999999999998e-05]}, 'stats': [1, 1, 1.2e-05, 2.4999999999999998e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:89(__get__)': [1, 1, 3e-06, 1.3e-05]}}, "~:0(<method 'endswith' of 'str' objects>)": {'display_name': "~:0(<method 'endswith' of 'str' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/commontypes.py:1(<module>)': [47, 47, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:61(join)': [30, 30, 1.8e-05, 1.8e-05]}, 'stats': [77, 77, 2.4999999999999998e-05, 2.4999999999999998e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:220(_process_function)': {'display_name': 'atomicops.py:220(_process_function)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:189(remove_redundant_nrt_refct)': [6, 6, 2.9e-05, 0.000308]}, 'stats': [6, 6, 2.9e-05, 0.000308], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:228(_extract_basic_blocks)': [46, 46, 4.9999999999999996e-05, 8.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:247(_process_basic_block)': [11, 11, 1.4999999999999999e-05, 0.000195]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/tempfile.py:383(_TemporaryFileWrapper)': {'display_name': 'tempfile.py:383(_TemporaryFileWrapper)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/tempfile.py:18(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/__init__.py:1(<module>)': {'display_name': '__init__.py:1(<module>)', 'callers': {'shocksine.py:23(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '~:0(<delattr>)': {'display_name': '~:0(<delattr>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:89(__get__)': [4, 4, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:89(__get__)': [1, 1, 1e-06, 1e-06]}, 'stats': [5, 5, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/burgers_1D_constants.py:1(<module>)': {'display_name': 'burgers_1D_constants.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:183(_socketobject)': {'display_name': 'socket.py:183(_socketobject)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:45(<module>)': [1, 1, 4.4e-05, 4.4e-05]}, 'stats': [1, 1, 4.4e-05, 4.4e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/nosetester.py:6(<module>)': {'display_name': 'nosetester.py:6(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/__init__.py:7(<module>)': [1, 1, 4.2999999999999995e-05, 4.6e-05]}, 'stats': [1, 1, 4.2999999999999995e-05, 4.6e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/nosetester.py:128(NoseTester)': [1, 1, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:366(__init__)': {'display_name': 'types.py:366(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/randomimpl.py:3(<module>)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:115(literal_struct)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:82(struct)': [5, 5, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:579(__init__)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/callconv.py:3(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [11, 11, 1.6e-05, 1.6e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/errors.py:1(<module>)': {'display_name': 'errors.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/device_init.py:1(<module>)': [1, 1, 3.7e-05, 3.7999999999999995e-05]}, 'stats': [1, 1, 3.7e-05, 3.7999999999999995e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/errors.py:4(KernelRuntimeError)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/string.py:222(lower)': {'display_name': 'string.py:222(lower)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/util.py:16(get_platform)': [1, 1, 0.0, 1e-06]}, 'stats': [1, 1, 0.0, 1e-06], 'children': {"~:0(<method 'lower' of 'str' objects>)": [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:281(CachedCUFunction)': {'display_name': 'compiler.py:281(CachedCUFunction)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:149(append)': {'display_name': 'sre_parse.py:149(append)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:395(_parse)': [1084, 1084, 0.000412, 0.000579], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:317(_parse_sub)': [52, 52, 2.4e-05, 3.6e-05]}, 'stats': [1136, 1136, 0.00043599999999999997, 0.000615], 'children': {"~:0(<method 'append' of 'list' objects>)": [1136, 1136, 0.000179, 0.000179]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/info.py:83(<module>)': {'display_name': 'info.py:83(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/__init__.py:1(<module>)': [1, 1, 4e-06, 4e-06]}, 'stats': [1, 1, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:239(typeof_pyval)': {'display_name': 'dispatcher.py:239(typeof_pyval)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/limiters/tvd.py:158(limit)': [1, 1, 0.0, 0.000173], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/euler_1D_py.py:110(godunov_update)': [1, 1, 2e-06, 8.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:164(_compile_for_args)': [34, 34, 2.7e-05, 0.000904], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:377(godunov_update)': [2, 2, 4.8e-05, 0.00018999999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:435(compute_correction_fluxes)': [1, 1, 2e-06, 2.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/euler_1D_py.py:262(roe_averages)': [1, 1, 4.9999999999999996e-06, 0.000235]}, 'stats': [40, 40, 8.4e-05, 0.001609], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/typeof.py:23(typeof)': [40, 40, 8.4e-05, 0.0015249999999999999]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:470(_pass_manager_builder)': {'display_name': 'codegen.py:470(_pass_manager_builder)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:461(_function_pass_manager)': [1, 1, 3e-06, 2.4999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:451(_module_pass_manager)': [1, 1, 4e-06, 0.001222]}, 'stats': [2, 2, 7e-06, 0.0012469999999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/passes.py:33(create_pass_manager_builder)': [2, 2, 1.4999999999999999e-05, 0.00124]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:4(<module>)': {'display_name': '__init__.py:4(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/__init__.py:106(<module>)': [1, 1, 0.001833, 0.0022299999999999998]}, 'stats': [1, 1, 0.001833, 0.0022299999999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:294(c_wchar)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:233(c_byte)': [1, 1, 0.0, 0.0], '~:0(<_ctypes.sizeof>)': [24, 24, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:265(_reset_cache)': [1, 1, 2.4999999999999998e-05, 9.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:243(c_char_p)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:201(c_double)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:428(LibraryLoader)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:168(c_short)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:193(c_uint)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:78(CFUNCTYPE)': [2, 2, 5.6e-05, 5.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:180(c_ulong)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:172(c_ushort)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:205(c_longdouble)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:226(c_ubyte)': [1, 1, 1e-06, 1e-06], '~:0(<_struct.calcsize>)': [4, 4, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:493(PYFUNCTYPE)': [3, 3, 5.1e-05, 5.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:429(__init__)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:332(CDLL)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:260(c_bool)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:238(c_char)': [1, 1, 1e-06, 1e-06], "~:0(<method 'split' of 'str' objects>)": [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:388(PyDLL)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:189(c_int)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:147(_check_size)': [14, 14, 4.9999999999999996e-05, 6.599999999999999e-05], '~:0(<_ctypes.set_conversion_mode>)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/_endian.py:4(<module>)': [1, 1, 6.4e-05, 6.4e-05], '~:0(<posix.uname>)': [1, 1, 1.8999999999999998e-05, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:159(py_object)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:349(__init__)': [1, 1, 2.7e-05, 2.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:197(c_float)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:255(c_void_p)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:176(c_long)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:291(c_wchar_p)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:289(EphemeralArrayModel)': {'display_name': 'models.py:289(EphemeralArrayModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:84(__init__)': {'display_name': 'builder.py:84(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:29(_define_nrt_meminfo_data)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:198(new)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:95(_define_atomic_inc_dec)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:43(_define_nrt_incref)': [1, 1, 0.0, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:62(_define_nrt_decref)': [1, 1, 0.0, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:122(_define_atomic_cas)': [1, 1, 1e-06, 1e-06]}, 'stats': [7, 7, 8e-06, 9.999999999999999e-06], 'children': {'~:0(<len>)': [7, 7, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:90(_Runtime)': {'display_name': 'devices.py:90(_Runtime)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:12(<module>)': [1, 1, 6e-06, 6e-06]}, 'stats': [1, 1, 6e-06, 6e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:51(ismodule)': {'display_name': 'inspect.py:51(ismodule)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:398(getfile)': [8, 8, 6e-06, 1.1e-05]}, 'stats': [8, 8, 6e-06, 1.1e-05], 'children': {'~:0(<isinstance>)': [8, 8, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:33(Random_random)': {'display_name': 'randomdecl.py:33(Random_random)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:1(<module>)': [1, 1, 0.0, 3e-06]}, 'stats': [1, 1, 0.0, 3e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [1, 1, 2e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:557(SliceAttribute)': {'display_name': 'builtins.py:557(SliceAttribute)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:73(__exit__)': {'display_name': 'ffi.py:73(__exit__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:26(parse_bitcode)': [6, 6, 4e-06, 2.9999999999999997e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/linker.py:6(link_modules)': [1, 1, 1e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:46(__str__)': [1, 1, 0.0, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:124(triple)': [7, 7, 4.9999999999999996e-06, 1.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:13(get_default_triple)': [11, 11, 9.999999999999999e-06, 4.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:89(verify)': [4, 4, 1.1e-05, 2.4999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:16(create_mcjit_compiler)': [2, 2, 2e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:58(__str__)': [1, 1, 1e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:11(parse_assembly)': [11, 11, 1.8e-05, 5.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:117(from_triple)': [2, 2, 0.0, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:21(get_host_cpu_name)': [8, 8, 6e-06, 4.1e-05]}, 'stats': [54, 54, 5.8e-05, 0.00022899999999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:63(close)': [54, 54, 0.00016199999999999998, 0.00017099999999999998]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:227(MemInfoModel)': {'display_name': 'models.py:227(MemInfoModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '~:0(<_weakref.proxy>)': {'display_name': '~:0(<_weakref.proxy>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:39(__init__)': [7, 7, 4e-06, 4e-06]}, 'stats': [7, 7, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/boxing.py:112(Box)': {'display_name': 'boxing.py:112(Box)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/boxing.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/decorators.py:157(wrapper)': {'display_name': 'decorators.py:157(wrapper)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/euler_1D_py.py:33(<module>)': [2, 2, 0.000107, 0.0015789999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:9(<module>)': [3, 3, 1.2e-05, 0.0012959999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/limiters/tvd.py:64(<module>)': [3, 3, 1.6e-05, 0.0011799999999999998]}, 'stats': [8, 8, 0.000135, 0.004055], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:289(enable_caching)': [8, 8, 2.7e-05, 0.000731], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:262(__init__)': [8, 8, 0.000107, 0.003189]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:631(BaseRequestHandler)': {'display_name': 'SocketServer.py:631(BaseRequestHandler)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:120(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/utils.py:10(get_library_name)': {'display_name': 'utils.py:10(get_library_name)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/email/__init__.py:5(<module>)': {'display_name': '__init__.py:5(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/dist.py:5(<module>)': [1, 1, 0.000226, 0.00025499999999999996]}, 'stats': [1, 1, 0.000226, 0.00025499999999999996], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [3, 3, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/email/__init__.py:74(LazyImporter)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [3, 3, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/email/mime/__init__.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/email/__init__.py:75(__init__)': [19, 19, 9e-06, 9e-06], '~:0(<setattr>)': [26, 26, 8e-06, 8e-06], "~:0(<method 'lower' of 'str' objects>)": [19, 19, 9.999999999999999e-06, 9.999999999999999e-06]}}, "~:0(<method 'get' of 'dictproxy' objects>)": {'display_name': "~:0(<method 'get' of 'dictproxy' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/copy_reg.py:95(_slotnames)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:398(__setattr__)': [156, 156, 8.4e-05, 8.4e-05]}, 'stats': [157, 157, 8.499999999999999e-05, 8.499999999999999e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:27(VerificationError)': {'display_name': 'errors.py:27(VerificationError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:2(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '~:0(<function __getitem__ at 0x1097b8848>)': {'display_name': '~:0(<function __getitem__ at 0x1097b8848>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/registry.py:37(__getitem__)': [8, 8, 4e-06, 4e-06]}, 'stats': [8, 8, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/options.py:3(<module>)': {'display_name': 'options.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:1(<module>)': [1, 1, 3.2999999999999996e-05, 3.5e-05]}, 'stats': [1, 1, 3.2999999999999996e-05, 3.5e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/options.py:7(TargetOptions)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/pickle.py:82(_Stop)': {'display_name': 'pickle.py:82(_Stop)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/pickle.py:25(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:39(inlining_threshold)': {'display_name': 'transforms.py:39(inlining_threshold)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/passes.py:33(create_pass_manager_builder)': [2, 2, 0.001191, 0.001191]}, 'stats': [2, 2, 0.001191, 0.001191], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:415(CmpOpIs)': {'display_name': 'builtins.py:415(CmpOpIs)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:266(runonce)': {'display_name': 'utils.py:266(runonce)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:1(<module>)': [1, 1, 4e-06, 1.4e-05]}, 'stats': [1, 1, 4e-06, 1.4e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/functools.py:17(update_wrapper)': [1, 1, 2e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/functools.py:39(wraps)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:160(__init__)': {'display_name': 'solver.py:160(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:75(__init__)': [1, 1, 3.2e-05, 9.7e-05]}, 'stats': [1, 1, 3.2e-05, 9.7e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:140(__setattr__)': [35, 35, 2.9999999999999997e-05, 3.2999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:193(get_clawpack_dot_xxx)': [1, 1, 2e-06, 4e-06], "~:0(<method 'get' of 'dict' objects>)": [2, 2, 1e-06, 1e-06], "~:0(<method 'split' of 'str' objects>)": [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/cfl.py:7(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1559(getLogger)': [1, 1, 4e-06, 2.4999999999999998e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/cfl.py:7(__init__)': {'display_name': 'cfl.py:7(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:160(__init__)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:908(GeneratorModel)': {'display_name': 'models.py:908(GeneratorModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:27(def_op)': {'display_name': 'opcode.py:27(def_op)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:31(name_op)': [11, 11, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:39(jabs_op)': [6, 6, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:35(jrel_op)': [6, 6, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:5(<module>)': [96, 96, 3.2e-05, 3.2e-05]}, 'stats': [119, 119, 4.2e-05, 4.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:560(InitList)': {'display_name': 'c_ast.py:560(InitList)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/email/__init__.py:75(__init__)': {'display_name': '__init__.py:75(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/email/__init__.py:5(<module>)': [19, 19, 9e-06, 9e-06]}, 'stats': [19, 19, 9e-06, 9e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/typeof.py:69(_typeof_bool)': {'display_name': 'typeof.py:69(_typeof_bool)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch.py:209(wrapper)': [2, 2, 1e-06, 1e-06]}, 'stats': [2, 2, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:44(normcase)': {'display_name': 'posixpath.py:44(normcase)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1225(findCaller)': [11, 11, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:24(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [12, 12, 1e-06, 1e-06], 'children': {}}, "~:0(<method 'readline' of 'file' objects>)": {'display_name': "~:0(<method 'readline' of 'file' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:464(_read)': [125, 125, 0.00041, 0.00041]}, 'stats': [125, 125, 0.00041, 0.00041], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:373(notify)': {'display_name': 'threading.py:373(notify)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:400(notifyAll)': [1, 1, 3e-06, 6e-06]}, 'stats': [1, 1, 3e-06, 6e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:300(_is_owned)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:64(_note)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:493(from_function)': {'display_name': 'dispatcher.py:493(from_function)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:568(__init__)': [8, 8, 2.3e-05, 0.00011499999999999999]}, 'stats': [8, 8, 2.3e-05, 0.00011499999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:474(__init__)': [8, 8, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/genericpath.py:23(exists)': [8, 8, 1.4e-05, 8.4e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/signals.py:9(_InterruptHandler)': {'display_name': 'signals.py:9(_InterruptHandler)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/signals.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/vectorizers.py:48(CUDAGUFuncVectorize)': {'display_name': 'vectorizers.py:48(CUDAGUFuncVectorize)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/vectorizers.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/typeof.py:14(Purpose)': {'display_name': 'typeof.py:14(Purpose)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/typeof.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:139(Terminator)': {'display_name': 'instructions.py:139(Terminator)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '~:0(<posix.popen>)': {'display_name': '~:0(<posix.popen>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/__init__.py:109(cpu_count)': [1, 1, 0.00023099999999999998, 0.00023099999999999998]}, 'stats': [1, 1, 0.00023099999999999998, 0.00023099999999999998], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:83(IRBuilder)': {'display_name': 'builder.py:83(IRBuilder)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:1(<module>)': [1, 1, 0.00010899999999999999, 0.000368]}, 'stats': [1, 1, 0.00010899999999999999, 0.000368], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:68(_castop)': [13, 13, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:33(wrap)': [6, 6, 7e-06, 3.2999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:54(contextmanager)': [5, 5, 9e-06, 4.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:19(wrap)': [18, 18, 2.3e-05, 0.000103], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:69(wrap)': [13, 13, 9.999999999999999e-06, 7.5e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:18(_binop)': [18, 18, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:32(_binop_with_overflow)': [6, 6, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:200(DatetimeMinusTimedelta)': {'display_name': 'npdatetime.py:200(DatetimeMinusTimedelta)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:413(__init__)': {'display_name': 'instructions.py:413(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:530(gep)': [1, 1, 7e-06, 2.9999999999999997e-05]}, 'stats': [1, 1, 7e-06, 2.9999999999999997e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:13(__init__)': [1, 1, 4.9999999999999996e-06, 1.8999999999999998e-05], '~:0(<isinstance>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:346(gep)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:121(gep)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:35(as_pointer)': [1, 1, 1e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:792(__init__)': {'display_name': 'geometry.py:792(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:822(__deepcopy__)': [22, 22, 5.9e-05, 6.9e-05], 'shocksine.py:40(setup)': [1, 1, 4.9999999999999996e-06, 6.3e-05]}, 'stats': [23, 23, 6.4e-05, 0.00013199999999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:696(__init__)': [1, 1, 6e-06, 5.8e-05], '~:0(<isinstance>)': [47, 47, 4.9999999999999996e-06, 4.9999999999999996e-06], '~:0(<len>)': [23, 23, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/generators.py:201(GeneratorLower)': {'display_name': 'generators.py:201(GeneratorLower)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/generators.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:60(__len__)': {'display_name': 'six.py:60(__len__)', 'callers': {'~:0(<len>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:114(add_overload)': {'display_name': 'dispatcher.py:114(add_overload)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:325(compile)': [6, 6, 5.1e-05, 0.000325]}, 'stats': [6, 6, 5.1e-05, 0.000325], 'children': {"~:0(<method '_insert' of '_dispatcher.Dispatcher' objects>)": [6, 6, 1.4e-05, 1.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:59(__setitem__)': [6, 6, 6.2e-05, 0.00026]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/compat/_inspect.py:43(iscode)': {'display_name': '_inspect.py:43(iscode)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/compat/_inspect.py:67(getargs)': [31, 31, 1.1e-05, 1.3e-05]}, 'stats': [31, 31, 1.1e-05, 1.3e-05], 'children': {'~:0(<isinstance>)': [31, 31, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/bytecode.py:236(ByteCodeIter)': {'display_name': 'bytecode.py:236(ByteCodeIter)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/bytecode.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:214(_fromnxfunction)': {'display_name': 'extras.py:214(_fromnxfunction)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:10(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, "~:0(<method 'groups' of '_sre.SRE_Match' objects>)": {'display_name': "~:0(<method 'groups' of '_sre.SRE_Match' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/__init__.py:55(_ensure_llvm)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/__init__.py:1(<module>)': {'display_name': '__init__.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/config.py:1(<module>)': [1, 1, 0.001297, 0.0021149999999999997]}, 'stats': [1, 1, 0.001297, 0.0021149999999999997], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/_version.py:9(get_versions)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:1(<module>)': [1, 1, 0.000203, 0.0008129999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/_version.py:7(<module>)': [1, 1, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:231(BitwiseShiftOperation)': {'display_name': 'builtins.py:231(BitwiseShiftOperation)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:287(__init__)': {'display_name': 'types.py:287(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:135(array)': [4, 4, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/randomimpl.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [5, 5, 4e-06, 4e-06], 'children': {}}, "~:0(<method 'sort' of 'list' objects>)": {'display_name': "~:0(<method 'sort' of 'list' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:183(_install_loggers)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:204(BinOpFloorDiv)': {'display_name': 'builtins.py:204(BinOpFloorDiv)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 4e-06, 9e-06]}, 'stats': [1, 1, 4e-06, 9e-06], 'children': {'~:0(<sorted>)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [2, 2, 1e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:429(__init__)': {'display_name': '__init__.py:429(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:4(<module>)': [2, 2, 1e-06, 1e-06]}, 'stats': [2, 2, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:158(functions)': {'display_name': 'module.py:158(functions)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:69(_optimize_functions)': [1, 1, 7e-06, 9e-06]}, 'stats': [1, 1, 7e-06, 9e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:173(__init__)': [1, 1, 0.0, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:220(SwitchInstr)': {'display_name': 'instructions.py:220(SwitchInstr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:83(PrimitiveType)': {'display_name': 'model.py:83(PrimitiveType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:1(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/misc_util.py:55(InstallableLib)': {'display_name': 'misc_util.py:55(InstallableLib)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/misc_util.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/numpy_support.py:85(from_dtype)': {'display_name': 'numpy_support.py:85(from_dtype)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/typeof.py:139(_typeof_ndarray)': [23, 23, 2.2e-05, 2.2e-05]}, 'stats': [23, 23, 2.2e-05, 2.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/__init__.py:109(cpu_count)': {'display_name': '__init__.py:109(cpu_count)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:11(<module>)': [1, 1, 3.6e-05, 0.004823]}, 'stats': [1, 1, 3.6e-05, 0.004823], 'children': {'~:0(<posix.popen>)': [1, 1, 0.00023099999999999998, 0.00023099999999999998], "~:0(<method 'read' of 'file' objects>)": [1, 1, 0.004556, 0.004556]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:70(_make_finalizer)': {'display_name': 'dispatcher.py:70(_make_finalizer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:35(__init__)': [8, 8, 1.2e-05, 1.2e-05]}, 'stats': [8, 8, 1.2e-05, 1.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:181(MulList)': {'display_name': 'listdecl.py:181(MulList)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/arrayprint.py:684(LongComplexFormat)': {'display_name': 'arrayprint.py:684(LongComplexFormat)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/arrayprint.py:5(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:505(string_at)': {'display_name': '__init__.py:505(string_at)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:152(_raw_object_cache_notify)': [2, 2, 1.3e-05, 1.3e-05]}, 'stats': [2, 2, 1.3e-05, 1.3e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/encoder.py:101(__init__)': {'display_name': 'encoder.py:101(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/json/__init__.py:99(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:103(int)': {'display_name': 'core.py:103(int)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:2(<module>)': [3, 3, 2e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npdatetime.py:3(<module>)': [1, 1, 1e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:796(gep)': [2, 2, 3e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npdatetime.py:98(make_constant_array)': [48, 48, 3.4e-05, 9.999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/llvm_types.py:1(<module>)': [1, 1, 2e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:3(<module>)': [4, 4, 4e-06, 1.4e-05]}, 'stats': [59, 59, 4.6e-05, 0.000139], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:91(__init__)': [59, 59, 6.8e-05, 9.3e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:227(ListGe)': {'display_name': 'listdecl.py:227(ListGe)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:11(<module>)': {'display_name': 'parallel.py:11(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/decorators.py:1(<module>)': [1, 1, 0.000126, 0.009892999999999999]}, 'stats': [1, 1, 0.000126, 0.009892999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/__init__.py:109(cpu_count)': [1, 1, 3.6e-05, 0.004823], '/Users/aron/anaconda3/envs/python2/lib/python2.7/UserDict.py:91(get)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:420(_init)': [1, 1, 0.0012619999999999999, 0.004935], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:365(_ProtectEngineDestroy)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:26(ParallelUFuncBuilder)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:180(ParallelGUFuncBuilder)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/UserDict.py:103(__contains__)': [1, 1, 1e-06, 1e-06], '~:0(<max>)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:125(get_clawpack_dot_xxx)': {'display_name': 'solution.py:125(get_clawpack_dot_xxx)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:105(__init__)': [23, 23, 2.7e-05, 3.9e-05]}, 'stats': [23, 23, 2.7e-05, 3.9e-05], 'children': {"~:0(<method 'rpartition' of 'str' objects>)": [23, 23, 1.2e-05, 1.2e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:47(<genexpr>)': {'display_name': 'instructions.py:47(<genexpr>)', 'callers': {"~:0(<method 'join' of 'str' objects>)": [6, 6, 1e-06, 1.2e-05]}, 'stats': [6, 6, 1e-06, 1.2e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:174(get_reference)': [2, 2, 1e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:100(get_reference)': [2, 2, 3e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/error.py:8(CudaSupportError)': {'display_name': 'error.py:8(CudaSupportError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/error.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/vc_advection_3D_constants.py:1(<module>)': {'display_name': 'vc_advection_3D_constants.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numerictypes.py:216(_evalname)': {'display_name': 'numerictypes.py:216(_evalname)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numerictypes.py:229(bitname)': [21, 21, 4.9e-05, 4.9e-05]}, 'stats': [21, 21, 4.9e-05, 4.9e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1195(BaseNamedTuple)': {'display_name': 'types.py:1195(BaseNamedTuple)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:206(set_all_states)': {'display_name': 'solution.py:206(set_all_states)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:78(__setattr__)': [4804, 4804, 0.006671, 0.008949]}, 'stats': [4804, 4804, 0.006671, 0.008949], 'children': {'~:0(<getattr>)': [4804, 4804, 0.00075, 0.00075], '~:0(<setattr>)': [4804, 4804, 0.0015279999999999998, 0.0015279999999999998]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:446(usesTime)': {'display_name': '__init__.py:446(usesTime)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:452(format)': [22, 22, 2.8e-05, 3.9999999999999996e-05]}, 'stats': [22, 22, 2.8e-05, 3.9999999999999996e-05], 'children': {"~:0(<method 'find' of 'str' objects>)": [22, 22, 1.2e-05, 1.2e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:10(Math_unary)': {'display_name': 'mathdecl.py:10(Math_unary)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:1(<module>)': [1, 1, 6e-06, 1.3e-05]}, 'stats': [1, 1, 6e-06, 1.3e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [4, 4, 4e-06, 7e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/initfini.py:38(_version_info)': {'display_name': 'initfini.py:38(_version_info)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/initfini.py:1(<module>)': [1, 1, 0.0007379999999999999, 0.0007379999999999999]}, 'stats': [1, 1, 0.0007379999999999999, 0.0007379999999999999], 'children': {"~:0(<method 'append' of 'list' objects>)": [3, 3, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:128(__instancecheck__)': {'display_name': 'abc.py:128(__instancecheck__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:409(__hash__)': [8, 8, 1.3e-05, 2.3e-05], '~:0(<isinstance>)': [102, 102, 0.000223, 0.000781]}, 'stats': [110, 110, 0.000236, 0.0008039999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:70(__contains__)': [188, 188, 0.000108, 0.000108], '~:0(<getattr>)': [110, 110, 2.7e-05, 2.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:148(__subclasscheck__)': [7, 7, 6.1e-05, 0.00043299999999999995]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/dispatcher.py:67(CUDAUFuncDispatcher)': {'display_name': 'dispatcher.py:67(CUDAUFuncDispatcher)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/dispatcher.py:1(<module>)': [1, 1, 4e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4e-06, 4.9999999999999996e-06], 'children': {"~:0(<method 'setter' of 'property' objects>)": [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:157(AddList)': {'display_name': 'listdecl.py:157(AddList)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:95(ObjectRef)': {'display_name': 'ffi.py:95(ObjectRef)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:1(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/vc_advection_2D_constants.py:1(<module>)': {'display_name': 'vc_advection_2D_constants.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_lexer.py:16(CLexer)': {'display_name': 'c_lexer.py:16(CLexer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_lexer.py:9(<module>)': [1, 1, 4.7e-05, 8.3e-05]}, 'stats': [1, 1, 4.7e-05, 8.3e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/lex.py:1048(set_doc)': [20, 20, 8e-06, 2.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/lex.py:1047(TOKEN)': [20, 20, 4e-06, 4e-06], "~:0(<method 'lower' of 'str' objects>)": [35, 35, 1.1e-05, 1.1e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:189(__eq__)': {'display_name': 'types.py:189(__eq__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:70(wrapped)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:20(wrapped)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:114(__eq__)': [3, 3, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:255(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:148(__str__)': [15, 15, 6e-06, 1.2e-05]}, 'stats': [22, 22, 1.3e-05, 1.8999999999999998e-05], 'children': {'~:0(<isinstance>)': [22, 22, 6e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:172(c_ushort)': {'display_name': '__init__.py:172(c_ushort)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:4(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/callconv.py:138(MinimalCallConv)': {'display_name': 'callconv.py:138(MinimalCallConv)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/callconv.py:3(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:79(callee)': {'display_name': 'instructions.py:79(callee)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:64(__init__)': [3, 3, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:101(_descr)': [6, 6, 2e-06, 2e-06]}, 'stats': [9, 9, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/registry.py:17(CPUDispatcher)': {'display_name': 'registry.py:17(CPUDispatcher)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/registry.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/cudadrv/devicearray.py:4(<module>)': {'display_name': 'devicearray.py:4(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/cudadrv/__init__.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:73(build_backend_type)': {'display_name': 'model.py:73(build_backend_type)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:38(get_cached_btype)': [1, 1, 3e-06, 5.2e-05]}, 'stats': [1, 1, 3e-06, 5.2e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:518(global_cache)': [1, 1, 1.9999999999999998e-05, 4.9e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:346(descr)': {'display_name': 'instructions.py:346(descr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:148(__str__)': [4, 4, 1.1e-05, 0.000101]}, 'stats': [4, 4, 1.1e-05, 0.000101], 'children': {"~:0(<method 'format' of 'str' objects>)": [4, 4, 1.1e-05, 5.4999999999999995e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:174(get_reference)': [4, 4, 1e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:23(_stringify_metatdata)': [4, 4, 1e-06, 1e-06], '~:0(<print>)': [4, 4, 9e-06, 2.6e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/suite.py:16(BaseTestSuite)': {'display_name': 'suite.py:16(BaseTestSuite)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/suite.py:1(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/context.py:503(Context)': {'display_name': 'context.py:503(Context)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/context.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:343(ModuleCompilerPy3)': {'display_name': 'compiler.py:343(ModuleCompilerPy3)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:2(<module>)': [1, 1, 1.2e-05, 6.1e-05]}, 'stats': [1, 1, 1.2e-05, 6.1e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:82(struct)': [2, 2, 3e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:345(<lambda>)': [5, 5, 9.999999999999999e-06, 4.4e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:76(MetaData)': {'display_name': 'types.py:76(MetaData)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, "~:0(<method 'copy' of 'numpy.ndarray' objects>)": {'display_name': "~:0(<method 'copy' of 'numpy.ndarray' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:553(evolve_to_time)': [4804, 4804, 0.045734, 0.045734]}, 'stats': [4804, 4804, 0.045734, 0.045734], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/cudadrv/devices.py:6(__init__)': {'display_name': 'devices.py:6(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/cudadrv/devices.py:33(__init__)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:389(BaseCPUCodegen)': {'display_name': 'codegen.py:389(BaseCPUCodegen)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:1(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/os.py:35(_get_exports_list)': {'display_name': 'os.py:35(_get_exports_list)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:45(<module>)': [1, 1, 3.2999999999999996e-05, 7.4e-05]}, 'stats': [1, 1, 3.2999999999999996e-05, 7.4e-05], 'children': {'~:0(<dir>)': [1, 1, 4.1e-05, 4.1e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:897(RangeIteratorType)': {'display_name': 'models.py:897(RangeIteratorType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dummyarray.py:1(<module>)': {'display_name': 'dummyarray.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devicearray.py:5(<module>)': [1, 1, 0.00028, 0.000855]}, 'stats': [1, 1, 0.00028, 0.000855], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [5, 5, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dummyarray.py:112(Element)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dummyarray.py:11(Dim)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [5, 5, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dummyarray.py:122(Array)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:293(namedtuple)': [1, 1, 0.000466, 0.000563]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:171(CPUTargetOptions)': {'display_name': 'cpu.py:171(CPUTargetOptions)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/callconv.py:246(_MinimalCallHelper)': {'display_name': 'callconv.py:246(_MinimalCallHelper)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/callconv.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:130(TimedeltaBinMult)': {'display_name': 'npdatetime.py:130(TimedeltaBinMult)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:11(State)': {'display_name': 'state.py:11(State)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:8(<module>)': [1, 1, 8e-06, 9.999999999999999e-06]}, 'stats': [1, 1, 8e-06, 9.999999999999999e-06], 'children': {"~:0(<method 'setter' of 'property' objects>)": [2, 2, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:1429(__init__)': {'display_name': 'extras.py:1429(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:10(<module>)': [1, 1, 2e-06, 8e-06]}, 'stats': [1, 1, 2e-06, 8e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:1359(__init__)': [1, 1, 2e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:51(get)': {'display_name': 'castgraph.py:51(get)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:42(insert)': [2032, 2032, 0.001047, 0.003143]}, 'stats': [2032, 2032, 0.001047, 0.003143], 'children': {"~:0(<method 'get' of 'dict' objects>)": [2032, 2032, 0.00082, 0.0020959999999999998]}}, '~:0(<_hashlib.openssl_sha384>)': {'display_name': '~:0(<_hashlib.openssl_sha384>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/hashlib.py:100(__get_openssl_constructor)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:134(TimedeltaTrueDiv)': {'display_name': 'npdatetime.py:134(TimedeltaTrueDiv)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:22(_autoincr)': {'display_name': 'abstracttypes.py:22(_autoincr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:42(_intern)': [343, 343, 0.000168, 0.000254]}, 'stats': [343, 343, 0.000168, 0.000254], 'children': {'~:0(<next>)': [343, 343, 8.599999999999999e-05, 8.599999999999999e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:85(__init__)': {'display_name': 'controller.py:85(__init__)', 'callers': {'shocksine.py:40(setup)': [1, 1, 2.9999999999999997e-05, 0.000126]}, 'stats': [1, 1, 2.9999999999999997e-05, 0.000126], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:67(verbosity)': [1, 1, 3e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/function_base.py:9(linspace)': [1, 1, 1.8e-05, 2.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1559(getLogger)': [1, 1, 3e-06, 2.3e-05], '~:0(<posix.getcwd>)': [3, 3, 3.9e-05, 3.9e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:1(<module>)': {'display_name': 'utils.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:1(<module>)': [1, 1, 0.0044919999999999995, 0.021018]}, 'stats': [1, 1, 0.0044919999999999995, 0.021018], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/timeit.py:53(<module>)': [1, 1, 1.1e-05, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:86(__new__)': [2, 2, 1.9999999999999998e-05, 9.9e-05], "~:0(<method 'append' of 'list' objects>)": [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:210(NonReentrantLock)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:25(<module>)': [1, 1, 0.000468, 0.013713999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:203(UniqueDict)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:102(<genexpr>)': [14, 14, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:309(BenchmarkResult)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [4, 4, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:6(<module>)': [1, 1, 0.000563, 0.000584], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:166(SortedMap)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:127(ConfigOptions)': [1, 1, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch.py:4(<module>)': [1, 1, 0.0009649999999999999, 0.001217], '/Users/aron/anaconda3/envs/python2/lib/python2.7/atexit.py:37(register)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:188(SortedSet)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:247(cached_property)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [1, 1, 0.000443, 0.000855], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:478(finalize)': [1, 1, 1.6e-05, 1.8999999999999998e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:410(_FakeStringIO)': {'display_name': 'testing.py:410(_FakeStringIO)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:211(BinOpPower)': {'display_name': 'builtins.py:211(BinOpPower)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 1.4e-05, 5.1e-05]}, 'stats': [1, 1, 1.4e-05, 5.1e-05], 'children': {'~:0(<sorted>)': [4, 4, 1.1e-05, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [12, 12, 1.1e-05, 1.8e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/cc.py:1(<module>)': {'display_name': 'cc.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/__init__.py:4(<module>)': [1, 1, 0.00257, 0.03027]}, 'stats': [1, 1, 0.00257, 0.03027], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [6, 6, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/cc.py:247(_CCExtension)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:2(<module>)': [1, 1, 0.0006839999999999999, 0.001162], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/cc.py:16(CC)': [1, 1, 9.4e-05, 9.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/dir_util.py:3(<module>)': [1, 1, 0.000258, 0.00057], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [6, 6, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/platform.py:1(<module>)': [1, 1, 0.002957, 0.01825], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/__init__.py:9(<module>)': [1, 1, 3.1e-05, 3.2999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/command/build_ext.py:5(<module>)': [1, 1, 0.00026, 0.007581999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/command/__init__.py:4(<module>)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/kernel.py:10(FakeCUDAKernel)': {'display_name': 'kernel.py:10(FakeCUDAKernel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/kernel.py:1(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, "~:0(<method 'encode' of 'str' objects>)": {'display_name': "~:0(<method 'encode' of 'str' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:69(get_function_address)': [16, 16, 6.7e-05, 0.000366], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:117(from_triple)': [2, 2, 1.6e-05, 5.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:118(data_layout)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [19, 19, 8.8e-05, 0.00042899999999999997], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/__init__.py:71(search_function)': [2, 2, 2.8e-05, 0.000341]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/ufunclike.py:5(<module>)': {'display_name': 'ufunclike.py:5(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/type_check.py:3(<module>)': [1, 1, 1.2e-05, 1.2e-05]}, 'stats': [1, 1, 1.2e-05, 1.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/__init__.py:106(<module>)': {'display_name': '__init__.py:106(<module>)', 'callers': {'shocksine.py:23(<module>)': [1, 1, 0.0032329999999999998, 0.15726099999999998]}, 'stats': [1, 1, 0.0032329999999999998, 0.15726099999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/__future__.py:48(<module>)': [1, 1, 1.4e-05, 1.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/add_newdocs.py:10(<module>)': [1, 1, 0.00031999999999999997, 0.138061], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/random/__init__.py:88(<module>)': [1, 1, 0.00577, 0.006542], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ctypeslib.py:51(<module>)': [1, 1, 0.00011899999999999999, 0.000256], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/fft/__init__.py:1(<module>)': [1, 1, 0.0005009999999999999, 0.000759], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/nosetester.py:179(__init__)': [2, 2, 9e-06, 1.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/_import_tools.py:1(<module>)': [1, 1, 4.4999999999999996e-05, 5.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/warnings.py:67(filterwarnings)': [3, 3, 1.8e-05, 0.000319], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/version.py:3(<module>)': [1, 1, 2e-06, 2e-06], "~:0(<method 'extend' of 'list' objects>)": [5, 5, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/__init__.py:41(<module>)': [1, 1, 0.001117, 0.003369], '~:0(<sys.getdlopenflags>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:4(<module>)': [1, 1, 0.001833, 0.0022299999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/__config__.py:3(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/__init__.py:139(_NoValue)': [1, 1, 1e-06, 1e-06], '~:0(<sys.setdlopenflags>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/__init__.py:116(ModuleDeprecationWarning)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/__init__.py:128(VisibleDeprecationWarning)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/__init__.py:15(<module>)': [1, 1, 0.001125, 0.0023959999999999997]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:429(get_platform_osx)': {'display_name': '_osx_support.py:429(get_platform_osx)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/util.py:16(get_platform)': [1, 1, 1.6e-05, 0.00021899999999999998]}, 'stats': [1, 1, 1.6e-05, 0.00021899999999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:455(<genexpr>)': [3, 3, 1e-06, 1e-06], "~:0(<method 'strip' of 'str' objects>)": [1, 1, 0.0, 0.0], '~:0(<len>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:173(findall)': [1, 1, 3e-06, 0.000198], "~:0(<method 'split' of 'str' objects>)": [1, 1, 1e-06, 1e-06], "~:0(<method 'get' of 'dict' objects>)": [3, 3, 0.0, 0.0], '~:0(<sorted>)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:83(_get_system_version)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:18(_dispose)': {'display_name': 'passmanagers.py:18(_dispose)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:111(close)': [1, 1, 1.4999999999999999e-05, 1.4999999999999999e-05]}, 'stats': [1, 1, 1.4999999999999999e-05, 1.4999999999999999e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:71(UntypedAttributeError)': {'display_name': 'errors.py:71(UntypedAttributeError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:2(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:71(__init__)': {'display_name': 'types.py:71(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [2, 2, 4e-06, 6e-06]}, 'stats': [2, 2, 4e-06, 6e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:85(__init__)': [2, 2, 0.0, 0.0], "~:0(<method 'startswith' of 'str' objects>)": [2, 2, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:453(Module_six_moves_urllib)': {'display_name': 'six.py:453(Module_six_moves_urllib)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [1, 1, 3e-06, 6e-06]}, 'stats': [1, 1, 3e-06, 6e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:177(_get_module)': [5, 5, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/platform.py:1(<module>)': {'display_name': 'platform.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/cc.py:1(<module>)': [1, 1, 0.002957, 0.01825]}, 'stats': [1, 1, 0.002957, 0.01825], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/platform.py:31(_DummyExtension)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/ccompiler.py:4(<module>)': [1, 1, 4.8e-05, 6.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/__init__.py:1(<module>)': [1, 1, 0.004155, 0.01521], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/platform.py:35(Toolchain)': [1, 1, 9.999999999999999e-06, 1.1e-05]}}, '~:0(<numba._dispatcher.typeof_init>)': {'display_name': '~:0(<numba._dispatcher.typeof_init>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:3(<module>)': [1, 1, 1.4e-05, 1.4e-05]}, 'stats': [1, 1, 1.4e-05, 1.4e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/utils.py:73(__call__)': {'display_name': 'utils.py:73(__call__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/utils.py:117(deprecate)': [2, 2, 9.3e-05, 9.999999999999999e-05]}, 'stats': [2, 2, 9.3e-05, 9.999999999999999e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/utils.py:51(_set_function_name)': [2, 2, 1e-06, 1e-06], "~:0(<method 'join' of 'str' objects>)": [1, 1, 1e-06, 1e-06], "~:0(<method 'update' of 'dict' objects>)": [2, 2, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:188(key)': {'display_name': 'types.py:188(key)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:102(__eq__)': [88, 88, 1.4999999999999999e-05, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:99(__hash__)': [46, 46, 6e-06, 6e-06]}, 'stats': [134, 134, 2.1e-05, 2.1e-05], 'children': {}}, '<string>:1(Partition)': {'display_name': '<string>:1(Partition)', 'callers': {'<string>:1(<module>)': [1, 1, 6e-06, 6e-06]}, 'stats': [1, 1, 6e-06, 6e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:616(PairModel)': {'display_name': 'models.py:616(PairModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/polyutils.py:79(PolyBase)': {'display_name': 'polyutils.py:79(PolyBase)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/polyutils.py:45(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:166(add_function)': {'display_name': 'core.py:166(add_function)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:62(_define_nrt_decref)': [1, 1, 1e-06, 4.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:381(_make_cas_function)': [1, 1, 3e-06, 0.00011499999999999999]}, 'stats': [2, 2, 4e-06, 0.000164], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:375(__init__)': [2, 2, 2.8e-05, 0.00015999999999999999]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:254(get_reference)': {'display_name': 'values.py:254(get_reference)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:23(_stringify_metatdata)': [3, 3, 4e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:148(__str__)': [1, 1, 0.0, 1e-06]}, 'stats': [4, 4, 4e-06, 6e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:162(name)': [4, 4, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:276(run)': {'display_name': 'controller.py:276(run)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:84(run_app_from_main)': [1, 1, 0.000289, 6.917082]}, 'stats': [1, 1, 0.000289, 6.917082], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/function_base.py:9(linspace)': [1, 1, 9e-06, 1.8999999999999998e-05], "~:0(<method 'append' of 'list' objects>)": [11, 11, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy.py:145(deepcopy)': [11, 11, 7.9e-05, 0.01809], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:688(__repr__)': [11, 11, 1.3e-05, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:140(__setattr__)': [1, 1, 0.0, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:199(setup)': [1, 1, 1.3e-05, 0.000143], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:78(__setattr__)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:671(write_gauge_values)': [1, 1, 6e-06, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:691(increment)': [10, 10, 9e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:87(state)': [11, 11, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:68(__getattr__)': [12, 12, 1.1e-05, 1.9999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:685(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:94(grid)': [11, 11, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:696(set_counter)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:415(log_info)': [11, 11, 1.8999999999999998e-05, 0.002362], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:216(check_validity)': [1, 1, 8e-06, 6.4e-05], '~:0(<len>)': [3, 3, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:91(patch)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:96(start_frame)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:400(write_F)': [11, 11, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:553(evolve_to_time)': [10, 10, 0.102125, 6.8960349999999995]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:183(BinOpDiv)': {'display_name': 'builtins.py:183(BinOpDiv)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:18(TimedeltaUnaryOp)': {'display_name': 'npdatetime.py:18(TimedeltaUnaryOp)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:250(Resume)': {'display_name': 'instructions.py:250(Resume)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:69(_optimize_functions)': {'display_name': 'codegen.py:69(_optimize_functions)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:151(add_llvm_module)': [1, 1, 5.4e-05, 0.007083]}, 'stats': [1, 1, 5.4e-05, 0.007083], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:461(_function_pass_manager)': [1, 1, 9.999999999999999e-06, 0.00013099999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:178(__next__)': [8, 8, 1.6e-05, 4.4999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:48(finalize)': [7, 7, 2.8e-05, 2.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:187(__iter__)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:151(__exit__)': [1, 1, 1e-06, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:154(__del__)': [8, 8, 3e-06, 2.4999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:145(__enter__)': [1, 1, 0.0, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:55(run)': [7, 7, 0.006686, 0.006686], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:158(functions)': [1, 1, 7e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:41(initialize)': [7, 7, 2.2e-05, 2.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:118(data_layout)': [1, 1, 1.6e-05, 6.3e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:1(<module>)': {'display_name': 'castgraph.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/__init__.py:1(<module>)': [1, 1, 5.6999999999999996e-05, 0.00045]}, 'stats': [1, 1, 5.6999999999999996e-05, 0.00045], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:150(__new__)': [1, 1, 0.000111, 0.000388], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:72(TypeGraph)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:33(CastSet)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:9(Conversion)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:161(Assignment)': {'display_name': 'c_ast.py:161(Assignment)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeinfer.py:164(BuildListConstraint)': {'display_name': 'typeinfer.py:164(BuildListConstraint)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeinfer.py:13(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '~:0(<locals>)': {'display_name': '~:0(<locals>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/config.py:72(process_environ)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:145(descr)': [4, 4, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:43(descr)': [2, 2, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:411(descr_prototype)': [8, 8, 1.1e-05, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/__version__.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:148(__str__)': [23, 23, 9e-06, 9e-06]}, 'stats': [39, 39, 2.9999999999999997e-05, 2.9999999999999997e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:12(<lambda>)': {'display_name': 'types.py:12(<lambda>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:3(<module>)': [4, 4, 3e-06, 3e-06]}, 'stats': [4, 4, 3e-06, 3e-06], 'children': {'~:0(<next>)': [4, 4, 0.0, 0.0]}}, '~:0(<_struct.unpack>)': {'display_name': '~:0(<_struct.unpack>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/json/decoder.py:17(_floatconstants)': [2, 2, 3e-06, 3e-06]}, 'stats': [2, 2, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:400(write_F)': {'display_name': 'controller.py:400(write_F)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:276(run)': [11, 11, 7e-06, 7e-06]}, 'stats': [11, 11, 7e-06, 7e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:64(_compile)': {'display_name': 'sre_compile.py:64(_compile)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:64(_compile)': [596, 186, 0.0037029999999999997, 0.00946], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:552(_code)': [87, 87, 0.0008839999999999999, 0.0113]}, 'stats': [87, 683, 0.004587, 0.0113], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:228(_compile_charset)': [290, 290, 0.000788, 0.004151], "~:0(<method 'append' of 'list' objects>)": [4468, 4468, 0.00046499999999999997, 0.00046499999999999997], '~:0(<len>)': [1714, 1714, 0.000158, 0.000158], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:428(_simple)': [309, 309, 0.00047099999999999996, 0.000741], "~:0(<method 'get' of 'dict' objects>)": [4, 4, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:141(__getitem__)': [1490, 1490, 0.000721, 0.001163], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:64(_compile)': [596, 186, 0.0037029999999999997, 0.00946], '~:0(<_sre.getlower>)': [122, 122, 3.2999999999999996e-05, 3.2999999999999996e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:149(GetItemBuffer)': {'display_name': 'arraydecl.py:149(GetItemBuffer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:2510(getdoc)': {'display_name': 'core.py:2510(getdoc)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:2504(__init__)': [9, 9, 5.4999999999999995e-05, 5.6999999999999996e-05]}, 'stats': [9, 9, 5.4999999999999995e-05, 5.6999999999999996e-05], 'children': {'~:0(<getattr>)': [9, 9, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:74(pointer)': {'display_name': 'core.py:74(pointer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/llvm_types.py:1(<module>)': [2, 2, 4e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:82(_ModuleCompiler)': [2, 2, 3e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:345(<lambda>)': [5, 5, 7e-06, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:381(_make_cas_function)': [1, 1, 2e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:1(<module>)': [1, 1, 2e-06, 4e-06]}, 'stats': [11, 11, 1.8e-05, 3.7e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:103(__init__)': [11, 11, 8e-06, 1.8999999999999998e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1681(NullHandler)': {'display_name': '__init__.py:1681(NullHandler)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:24(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:167(__init__)': {'display_name': 'instructions.py:167(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:499(ret_void)': [4, 4, 4e-06, 7.099999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:503(ret)': [5, 5, 9.999999999999999e-06, 8.999999999999999e-05]}, 'stats': [9, 9, 1.4e-05, 0.00016099999999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:140(__init__)': [9, 9, 1.8999999999999998e-05, 0.000147]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/weakref.py:76(__getitem__)': {'display_name': 'weakref.py:76(__getitem__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:518(global_cache)': [4, 4, 3e-06, 3e-06]}, 'stats': [4, 4, 3e-06, 3e-06], 'children': {}}, '~:0(<built-in method __new__ of type object at 0x100186920>)': {'display_name': '~:0(<built-in method __new__ of type object at 0x100186920>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:86(__new__)': [109, 109, 0.001898, 0.001898], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:150(__new__)': [62, 62, 0.00022099999999999998, 0.00022099999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/weakref.py:277(__new__)': [5, 5, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:188(__new__)': [5, 5, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1166(__new__)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:162(__new__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy_reg.py:92(__newobj__)': [88, 88, 3.5e-05, 3.5e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/codecs.py:92(__new__)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy_reg.py:46(_reconstructor)': [60, 60, 3.1e-05, 3.1e-05], '<string>:8(__new__)': [399, 399, 0.000167, 0.000167]}, 'stats': [733, 733, 0.002359, 0.002359], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:82(NodeVisitor)': {'display_name': 'c_ast.py:82(NodeVisitor)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/random.py:805(SystemRandom)': {'display_name': 'random.py:805(SystemRandom)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/random.py:40(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/euler_1D_py.py:33(<module>)': {'display_name': 'euler_1D_py.py:33(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 0.003375, 0.624543]}, 'stats': [1, 1, 0.003375, 0.624543], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/decorators.py:157(wrapper)': [2, 2, 0.000107, 0.0015789999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/__init__.py:3(<module>)': [1, 1, 0.007823, 0.619564], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/decorators.py:38(jit)': [2, 2, 4.9999999999999996e-06, 2.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1121(key)': {'display_name': 'types.py:1121(key)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:99(__hash__)': [2, 2, 3e-06, 3e-06]}, 'stats': [2, 2, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/atexit.py:37(register)': {'display_name': 'atexit.py:37(register)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:24(<module>)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:1(<module>)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/common.py:2(<module>)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:506(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/misc_util.py:1(<module>)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/util.py:35(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [6, 6, 9e-06, 1.1e-05], 'children': {"~:0(<method 'append' of 'list' objects>)": [6, 6, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/process.py:301(__init__)': {'display_name': 'process.py:301(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/process.py:35(<module>)': [1, 1, 9e-06, 2.1e-05]}, 'stats': [1, 1, 9e-06, 2.1e-05], 'children': {'~:0(<posix.urandom>)': [1, 1, 1.2e-05, 1.2e-05]}}, '~:0(<numba.runtime._nrt_python.memsys_use_cpython_allocator>)': {'display_name': '~:0(<numba.runtime._nrt_python.memsys_use_cpython_allocator>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/nrt.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/tempfile.py:108(_RandomNameSequence)': {'display_name': 'tempfile.py:108(_RandomNameSequence)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/tempfile.py:18(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, "~:0(<method 'reduce' of 'numpy.ufunc' objects>)": {'display_name': "~:0(<method 'reduce' of 'numpy.ufunc' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/_methods.py:37(_any)': [4804, 4804, 0.031609, 0.031609]}, 'stats': [4804, 4804, 0.031609, 0.031609], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:76(CompileError)': {'display_name': 'errors.py:76(CompileError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:9(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '~:0(<sys.getdlopenflags>)': {'display_name': '~:0(<sys.getdlopenflags>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/__init__.py:106(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:177(_get_module)': {'display_name': 'six.py:177(_get_module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:453(Module_six_moves_urllib)': [5, 5, 4e-06, 4e-06]}, 'stats': [5, 5, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:1(<module>)': {'display_name': 'contextlib.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/utils.py:4(<module>)': [1, 1, 5.9999999999999995e-05, 8.2e-05]}, 'stats': [1, 1, 5.9999999999999995e-05, 8.2e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:54(contextmanager)': [1, 1, 4.9999999999999996e-06, 1.9999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:9(GeneratorContextManager)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:132(closing)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:398(__setattr__)': {'display_name': '__init__.py:398(__setattr__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:150(__new__)': [32, 32, 8.7e-05, 0.000108], '~:0(<setattr>)': [124, 124, 0.000334, 0.000397]}, 'stats': [156, 156, 0.000421, 0.000505], 'children': {"~:0(<method 'get' of 'dictproxy' objects>)": [156, 156, 8.4e-05, 8.4e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/errors.py:4(KernelRuntimeError)': {'display_name': 'errors.py:4(KernelRuntimeError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/errors.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:857(PinnedMemory)': {'display_name': 'driver.py:857(PinnedMemory)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:12(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:221(isident)': {'display_name': 'sre_parse.py:221(isident)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:227(isname)': [72, 72, 1.4e-05, 1.4e-05]}, 'stats': [72, 72, 1.4e-05, 1.4e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:9(<module>)': {'display_name': 'errors.py:9(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/dir_util.py:3(<module>)': [1, 1, 0.000287, 0.00029499999999999996]}, 'stats': [1, 1, 0.000287, 0.00029499999999999996], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:83(LinkError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:50(DistutilsPlatformError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:70(CCompilerError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:76(CompileError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:79(LibError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:16(DistutilsModuleError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:26(DistutilsGetoptError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:46(DistutilsSetupError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:29(DistutilsArgError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:55(DistutilsExecError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:87(UnknownFileError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:66(DistutilsByteCompileError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:38(DistutilsOptionError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:20(DistutilsClassError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:59(DistutilsInternalError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:33(DistutilsFileError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:63(DistutilsTemplateError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:13(DistutilsError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:73(PreprocessError)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/initialize.py:8(initialize_all)': {'display_name': 'initialize.py:8(initialize_all)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/device_init.py:1(<module>)': [1, 1, 8e-06, 1.3e-05]}, 'stats': [1, 1, 8e-06, 1.3e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:204(__setitem__)': [2, 2, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:267(__init__)': {'display_name': 'solver.py:267(__init__)', 'callers': {'shocksine.py:40(setup)': [1, 1, 7e-06, 0.00013099999999999999]}, 'stats': [1, 1, 7e-06, 0.00013099999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:140(__setattr__)': [2, 2, 4e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:75(__init__)': [1, 1, 9.999999999999999e-06, 0.000118]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/static_raise.py:1(<module>)': {'display_name': 'static_raise.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/__init__.py:3(<module>)': [1, 1, 3.2999999999999996e-05, 3.7999999999999995e-05]}, 'stats': [1, 1, 3.2999999999999996e-05, 3.7999999999999995e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/static_raise.py:5(RewriteConstRaises)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/registry.py:41(register)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/registry.py:48(do_register)': [1, 1, 1e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:229(DatetimeCmpOp)': {'display_name': 'npdatetime.py:229(DatetimeCmpOp)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:272(EphemeralPointerModel)': {'display_name': 'models.py:272(EphemeralPointerModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:258(ReferenceLeakError)': {'display_name': 'testing.py:258(ReferenceLeakError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/_import_tools.py:341(PackageLoaderDebug)': {'display_name': '_import_tools.py:341(PackageLoaderDebug)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/_import_tools.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/config.py:5(<module>)': {'display_name': 'config.py:5(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/core.py:7(<module>)': [1, 1, 0.00022899999999999998, 0.001887]}, 'stats': [1, 1, 0.00022899999999999998, 0.001887], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/config.py:21(PyPIRCCommand)': [1, 1, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:88(<module>)': [1, 1, 0.000184, 0.001647], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1002(Manager)': {'display_name': '__init__.py:1002(Manager)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:24(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/yacc.py:1312(GrammarError)': {'display_name': 'yacc.py:1312(GrammarError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/yacc.py:62(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/autotune.py:4(<module>)': {'display_name': 'autotune.py:4(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/compiler.py:1(<module>)': [1, 1, 6.4e-05, 7.2e-05]}, 'stats': [1, 1, 6.4e-05, 7.2e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/autotune.py:43(AutoTuner)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/autotune.py:19(OccupancyThreadKey)': [1, 1, 1e-06, 1e-06], "~:0(<method 'copy' of 'dict' objects>)": [3, 3, 2e-06, 2e-06], "~:0(<method 'update' of 'dict' objects>)": [3, 3, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:2478(seterr)': {'display_name': 'numeric.py:2478(seterr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:2874(__exit__)': [1, 1, 1e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:2869(__enter__)': [1, 1, 3e-06, 9.999999999999999e-06]}, 'stats': [2, 2, 4e-06, 1.4999999999999999e-05], 'children': {'~:0(<numpy.core.umath.seterrobj>)': [2, 2, 3e-06, 3e-06], '~:0(<numpy.core.umath.geterrobj>)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:2578(geterr)': [2, 2, 6e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/acoustics_2D_constants.py:1(<module>)': {'display_name': 'acoustics_2D_constants.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:640(Round)': {'display_name': 'builtins.py:640(Round)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 4e-06, 1.6e-05]}, 'stats': [1, 1, 4e-06, 1.6e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [4, 4, 9e-06, 1.2e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/funcdesc.py:97(llvm_func_name)': {'display_name': 'funcdesc.py:97(llvm_func_name)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:134(get_executable)': [6, 6, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [6, 6, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '<string>:1(<module>)': {'display_name': '<string>:1(<module>)', 'callers': {'~:0(<eval>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {'<string>:1(_LowerResult)': [1, 1, 7e-06, 7e-06], '<string>:1(_ArrayHelper)': [1, 1, 1.1e-05, 1.1e-05], '<string>:1(Partition)': [1, 1, 6e-06, 6e-06], '<string>:1(_VarArgItem)': [1, 1, 6e-06, 6e-06], '<string>:1(_ReflectContext)': [1, 1, 7e-06, 7e-06], '<string>:1(ArgSpec)': [1, 1, 7e-06, 7e-06], '<string>:1(_UnboxContext)': [1, 1, 7e-06, 7e-06], '<string>:1(Match)': [1, 1, 9.999999999999999e-06, 9.999999999999999e-06], '<string>:1(Attribute)': [1, 1, 8e-06, 8e-06], '<string>:1(Indexing)': [1, 1, 8e-06, 8e-06], '<string>:1(QuicksortImplementation)': [1, 1, 8e-06, 8e-06], '<string>:1(Status)': [1, 1, 1.1e-05, 1.1e-05], '<string>:1(ArgInfo)': [1, 1, 7e-06, 7e-06], '<string>:1(Extent)': [1, 1, 9e-06, 9e-06], '<string>:1(_ArrayIndexingHelper)': [1, 1, 7e-06, 7e-06], '<string>:1(FunctionAttributes)': [1, 1, 6e-06, 6e-06], '<string>:1(FuncAttr)': [1, 1, 1.2e-05, 1.2e-05], '<string>:1(_BoxContext)': [1, 1, 8e-06, 8e-06], '<string>:1(Loop)': [1, 1, 7e-06, 7e-06], '<string>:1(nrt_mstats)': [1, 1, 8e-06, 8e-06], '<string>:1(_CompileResult)': [1, 1, 2.4e-05, 2.4e-05], '<string>:1(LibFunc)': [1, 1, 7e-06, 7e-06], '<string>:1(Arguments)': [1, 1, 7e-06, 7e-06], '<string>:1(Traceback)': [1, 1, 8e-06, 8e-06], '<string>:1(opcode_info)': [1, 1, 7e-06, 7e-06], '<string>:1(_UFuncLoopSpec)': [1, 1, 8e-06, 8e-06], '<string>:1(_TypeofContext)': [1, 1, 6e-06, 6e-06], '<string>:1(ModuleInfo)': [1, 1, 7e-06, 7e-06], '<string>:1(Mismatch)': [1, 1, 1.9999999999999998e-05, 1.9999999999999998e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/_polybase.py:19(ABCPolyBase)': {'display_name': '_polybase.py:19(ABCPolyBase)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/_polybase.py:8(<module>)': [1, 1, 1.9999999999999998e-05, 2.6e-05]}, 'stats': [1, 1, 1.9999999999999998e-05, 2.6e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:15(abstractmethod)': [12, 12, 6e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/controlflow.py:56(CFGraph)': {'display_name': 'controlflow.py:56(CFGraph)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/controlflow.py:1(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:53(__init__)': {'display_name': 'types.py:53(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [2, 2, 4.9999999999999996e-06, 7e-06]}, 'stats': [2, 2, 4.9999999999999996e-06, 7e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:85(__init__)': [2, 2, 1e-06, 1e-06], "~:0(<method 'startswith' of 'str' objects>)": [2, 2, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cffi_utils.py:129(ExternCFunction)': {'display_name': 'cffi_utils.py:129(ExternCFunction)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cffi_utils.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/optional.py:1(<module>)': {'display_name': 'optional.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/builtins.py:1(<module>)': [1, 1, 1.4e-05, 1.8e-05]}, 'stats': [1, 1, 1.4e-05, 1.8e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:99(decorate)': [2, 2, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:91(lower_cast)': [2, 2, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:940(emit)': {'display_name': '__init__.py:940(emit)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:738(handle)': [11, 11, 3.1e-05, 0.000784]}, 'stats': [11, 11, 3.1e-05, 0.000784], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:841(emit)': [11, 11, 5.4e-05, 0.000753]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:99(__hash__)': {'display_name': 'abstracttypes.py:99(__hash__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:68(__getitem__)': [2044, 2044, 0.000877, 0.001274], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:58(create_struct_proxy)': [6, 6, 4.9999999999999996e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:42(insert)': [2032, 2032, 0.000922, 0.0013469999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:122(insert_rule)': [94, 94, 4.1e-05, 5.9999999999999995e-05], "~:0(<method 'get' of 'dict' objects>)": [2578, 2578, 0.001343, 0.002277], '~:0(<hash>)': [245, 243, 0.000169, 0.00023799999999999998], '~:0(<cPickle.loads>)': [34, 34, 1.6e-05, 5.9999999999999995e-05], "~:0(<method 'add' of 'set' objects>)": [2385, 2385, 0.001014, 0.001485], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:1(<module>)': [2, 2, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [22, 22, 3e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:409(__hash__)': [8, 8, 1.2e-05, 1.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:59(__setitem__)': [102, 102, 7.3e-05, 0.000198], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [4, 4, 3e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/castgraph.py:95(propagate)': [6162, 6162, 0.0027099999999999997, 0.003913]}, 'stats': [15473, 15718, 0.007189999999999999, 0.010653], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1121(key)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1178(key)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:234(key)': [280, 280, 8.8e-05, 8.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:356(key)': [26, 26, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:88(key)': [15184, 15184, 0.002016, 0.002016], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:188(key)': [46, 46, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1335(key)': [2, 2, 1e-06, 1e-06], '~:0(<hash>)': [15718, 15473, 0.001245, 0.0014559999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:400(key)': [8, 8, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:923(key)': [166, 166, 9.7e-05, 9.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1490(key)': [2, 2, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/_version.py:7(<module>)': {'display_name': '_version.py:7(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/__init__.py:1(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '~:0(<numpy.core.umath.seterrobj>)': {'display_name': '~:0(<numpy.core.umath.seterrobj>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:2880(_setdef)': [1, 1, 9e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:2478(seterr)': [2, 2, 3e-06, 3e-06]}, 'stats': [3, 3, 1.2e-05, 1.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/annotations/type_annotations.py:22(SourceLines)': {'display_name': 'type_annotations.py:22(SourceLines)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/annotations/type_annotations.py:1(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:46(_ScalarHelper)': {'display_name': 'npyimpl.py:46(_ScalarHelper)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:3(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:43(descr)': {'display_name': 'instructions.py:43(descr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:148(__str__)': [2, 2, 6e-06, 5.3e-05]}, 'stats': [2, 2, 6e-06, 5.3e-05], 'children': {"~:0(<method 'format' of 'str' objects>)": [2, 2, 6e-06, 9.999999999999999e-06], '~:0(<print>)': [2, 2, 3e-06, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:23(_stringify_metatdata)': [2, 2, 1e-06, 1e-06], '~:0(<locals>)': [2, 2, 4e-06, 4e-06], "~:0(<method 'join' of 'str' objects>)": [2, 2, 7e-06, 1.8999999999999998e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/warnings.py:67(filterwarnings)': {'display_name': 'warnings.py:67(filterwarnings)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/random/__init__.py:88(<module>)': [1, 1, 8e-06, 0.00022099999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/__init__.py:106(<module>)': [3, 3, 1.8e-05, 0.000319], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1(<module>)': [1, 1, 8e-06, 0.00020999999999999998]}, 'stats': [5, 5, 3.4e-05, 0.00075], 'children': {'~:0(<issubclass>)': [5, 5, 3e-06, 3e-06], "~:0(<method 'insert' of 'list' objects>)": [5, 5, 3e-06, 3e-06], '~:0(<isinstance>)': [20, 20, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [10, 10, 1.1e-05, 0.000707]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:449(load)': {'display_name': 'builder.py:449(load)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:29(_define_nrt_meminfo_data)': [1, 1, 4e-06, 2.1e-05]}, 'stats': [1, 1, 4e-06, 2.1e-05], 'children': {'~:0(<isinstance>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:89(block)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:358(__init__)': [1, 1, 3e-06, 1.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:209(_insert)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:357(_apply_bcs)': {'display_name': 'solver.py:357(_apply_bcs)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:283(step_hyperbolic)': [4804, 4804, 0.10146999999999999, 0.22849999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:324(_allocate_bc_arrays)': [1, 1, 2.8e-05, 6.599999999999999e-05]}, 'stats': [4805, 4805, 0.10149799999999999, 0.228566], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:256(get_qbc_from_q)': [4805, 4805, 0.013328999999999999, 0.016711], "~:0(<method 'append' of 'list' objects>)": [9610, 9610, 0.001986, 0.001986], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:140(__setattr__)': [4805, 4805, 0.006362, 0.00791], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:446(_bc_lower)': [4805, 4805, 0.021107, 0.021107], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:130(__getattr__)': [9610, 9610, 0.009156, 0.03179], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:483(_bc_upper)': [4805, 4805, 0.019854999999999998, 0.019854999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:94(grid)': [14415, 14415, 0.0034189999999999997, 0.0034189999999999997], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:1396(rollaxis)': [9610, 9610, 0.01379, 0.01379], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:88(num_aux)': [14415, 14415, 0.003949, 0.003949], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:145(dimensions)': [4805, 4805, 0.005795, 0.0065509999999999995]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:100(get_reference)': {'display_name': 'values.py:100(get_reference)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:563(descr)': [2, 2, 2e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:431(descr)': [2, 2, 3e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:293(descr)': [3, 3, 4.9999999999999996e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:97(__str__)': [28, 28, 2.9e-05, 6.5e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:47(<genexpr>)': [2, 2, 3e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:243(descr)': [2, 2, 2e-06, 4e-06]}, 'stats': [39, 39, 4.4e-05, 9.2e-05], 'children': {'~:0(<isinstance>)': [39, 39, 1.4999999999999999e-05, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:195(format_const)': [37, 37, 2.4999999999999998e-05, 3.2999999999999996e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1110(UniTuple)': {'display_name': 'types.py:1110(UniTuple)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:118(TimedeltaUnaryNeg)': {'display_name': 'npdatetime.py:118(TimedeltaUnaryNeg)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/tokenize.py:47(maybe)': {'display_name': 'tokenize.py:47(maybe)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/tokenize.py:23(<module>)': [2, 2, 1e-06, 2e-06]}, 'stats': [2, 2, 1e-06, 2e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/tokenize.py:45(group)': [2, 2, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:286(__init__)': {'display_name': 'instructions.py:286(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:335(_icmp)': [3, 3, 1.1e-05, 5.6e-05]}, 'stats': [3, 3, 1.1e-05, 5.6e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:13(__init__)': [3, 3, 1.2e-05, 4.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:182(__init__)': [3, 3, 0.0, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/string.py:513(replace)': {'display_name': 'string.py:513(replace)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/util.py:16(get_platform)': [3, 3, 2e-06, 3e-06]}, 'stats': [3, 3, 2e-06, 3e-06], 'children': {"~:0(<method 'replace' of 'str' objects>)": [3, 3, 1e-06, 1e-06]}}, '~:0(<_ctypes.POINTER>)': {'display_name': '~:0(<_ctypes.POINTER>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:265(_reset_cache)': [2, 2, 4.1e-05, 4.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/value.py:2(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:1(<module>)': [7, 7, 7.999999999999999e-05, 7.999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:1(<module>)': [4, 4, 3.1e-05, 3.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:8(_make_opaque_ref)': [15, 15, 0.0005099999999999999, 0.0005099999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/nvvm.py:55(NVVM)': [7, 7, 3.2999999999999996e-05, 3.2999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:1(<module>)': [8, 8, 2.7e-05, 2.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/drvapi.py:1(<module>)': [42, 42, 4.2e-05, 4.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/linker.py:1(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/dylib.py:1(<module>)': [1, 1, 2.8e-05, 2.8e-05]}, 'stats': [88, 88, 0.000793, 0.000793], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:360(EmptyStatement)': {'display_name': 'c_ast.py:360(EmptyStatement)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:212(get)': {'display_name': 'sre_parse.py:212(get)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:236(_class_escape)': [12, 12, 3e-06, 1.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:395(_parse)': [2404, 2404, 0.001017, 0.003588], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:706(parse)': [87, 87, 3.7999999999999995e-05, 7.999999999999999e-05]}, 'stats': [2503, 2503, 0.001058, 0.003685], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:193(__next)': [2503, 2503, 0.002277, 0.002627]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:342(CastInstr)': {'display_name': 'instructions.py:342(CastInstr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:231(MDValue)': {'display_name': 'values.py:231(MDValue)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:4(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/decorators.py:38(jit)': {'display_name': 'decorators.py:38(jit)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/euler_1D_py.py:33(<module>)': [2, 2, 4.9999999999999996e-06, 2.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:9(<module>)': [3, 3, 6e-06, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/limiters/tvd.py:64(<module>)': [3, 3, 6e-06, 2.9999999999999997e-05]}, 'stats': [8, 8, 1.7e-05, 7.4e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/decorators.py:154(_jit)': [8, 8, 2.8e-05, 5.6999999999999996e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:254(SelectInstr)': {'display_name': 'instructions.py:254(SelectInstr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 4e-06, 4e-06]}, 'stats': [1, 1, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:228(_extract_basic_blocks)': {'display_name': 'atomicops.py:228(_extract_basic_blocks)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:220(_process_function)': [46, 46, 4.9999999999999996e-05, 8.4e-05]}, 'stats': [46, 46, 4.9999999999999996e-05, 8.4e-05], 'children': {"~:0(<method 'append' of 'list' objects>)": [31, 31, 0.0, 0.0], "~:0(<method 'match' of '_sre.SRE_Pattern' objects>)": [47, 47, 3.1e-05, 3.1e-05], "~:0(<method 'startswith' of 'str' objects>)": [12, 12, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/decoder.py:302(__init__)': {'display_name': 'decoder.py:302(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/json/__init__.py:99(<module>)': [1, 1, 8e-06, 8e-06]}, 'stats': [1, 1, 8e-06, 8e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:357(StaticGetItemRecord)': {'display_name': 'arraydecl.py:357(StaticGetItemRecord)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:177(_get_module)': {'display_name': 'six.py:177(_get_module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:453(Module_six_moves_urllib)': [5, 5, 3e-06, 3e-06]}, 'stats': [5, 5, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/objmode.py:3(<module>)': {'display_name': 'objmode.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/compiler.py:1(<module>)': [1, 1, 4.4e-05, 5.2e-05]}, 'stats': [1, 1, 4.4e-05, 5.2e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/objmode.py:39(PyLower)': [1, 1, 8e-06, 8e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/lex.py:69(LexToken)': {'display_name': 'lex.py:69(LexToken)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/lex.py:34(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:58(__iter__)': {'display_name': '_weakrefset.py:58(__iter__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:148(__subclasscheck__)': [76, 76, 0.00015099999999999998, 0.000334]}, 'stats': [76, 76, 0.00015099999999999998, 0.000334], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:26(__exit__)': [48, 48, 5.9999999999999995e-05, 9.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:16(__init__)': [48, 48, 2.8e-05, 2.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:20(__enter__)': [48, 48, 4.4e-05, 5.9e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:89(__get__)': {'display_name': 'six.py:89(__get__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:1(<module>)': [1, 1, 2e-06, 1.1e-05]}, 'stats': [1, 1, 2e-06, 1.1e-05], 'children': {'~:0(<setattr>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:112(_resolve)': [1, 1, 4e-06, 7e-06], '~:0(<delattr>)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:121(gep)': {'display_name': 'types.py:121(gep)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:413(__init__)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {'~:0(<isinstance>)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:88(Math_hypot)': {'display_name': 'mathdecl.py:88(Math_hypot)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:1(<module>)': [1, 1, 3e-06, 8e-06]}, 'stats': [1, 1, 3e-06, 8e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [4, 4, 4e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:99(decorate)': {'display_name': 'imputils.py:99(decorate)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:4(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/builtins.py:1(<module>)': [12, 12, 6e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/optional.py:1(<module>)': [2, 2, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/rangeobj.py:3(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/tupleobj.py:3(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/listobj.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [18, 18, 9.999999999999999e-06, 1.2e-05], 'children': {"~:0(<method 'append' of 'list' objects>)": [18, 18, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:545(NdArange)': {'display_name': 'npydecl.py:545(NdArange)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:204(ParsingError)': {'display_name': 'ConfigParser.py:204(ParsingError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:88(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:346(gep)': {'display_name': 'types.py:346(gep)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:413(__init__)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {'~:0(<isinstance>)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:14(RedefinedError)': {'display_name': 'errors.py:14(RedefinedError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:2(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:251(DatetimeCmpLE)': {'display_name': 'npdatetime.py:251(DatetimeCmpLE)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cffi_utils.py:5(<module>)': {'display_name': 'cffi_utils.py:5(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/typeof.py:1(<module>)': [1, 1, 0.0010659999999999999, 0.021048]}, 'stats': [1, 1, 0.0010659999999999999, 0.021048], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:86(__new__)': [1, 1, 1.3e-05, 4.9999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/api.py:48(__init__)': [1, 1, 0.0014919999999999998, 0.017287], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/__init__.py:1(<module>)': [1, 1, 0.001815, 0.0026379999999999997], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:535(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:545(register_attr)': [1, 1, 0.0, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cffi_utils.py:158(FFIAttribute)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cffi_utils.py:129(ExternCFunction)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cffi_utils.py:145(FFI_from_buffer)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:540(register)': [1, 1, 1e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/rangeobj.py:20(make_range_impl)': {'display_name': 'rangeobj.py:20(make_range_impl)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/rangeobj.py:3(<module>)': [3, 3, 7.099999999999999e-05, 0.00026199999999999997]}, 'stats': [3, 3, 7.099999999999999e-05, 0.00026199999999999997], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cgutils.py:58(create_struct_proxy)': [3, 3, 6.9e-05, 8.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/rangeobj.py:78(RangeIter)': [3, 3, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:206(iterator_impl)': [3, 3, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:212(wrapper)': [3, 3, 1.8e-05, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:24(lower)': [12, 12, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/rangeobj.py:12(make_range_iterator)': [3, 3, 1e-06, 6.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:33(decorate)': [12, 12, 4.9999999999999996e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:21(ListBuiltin)': {'display_name': 'listdecl.py:21(ListBuiltin)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:69(wrap)': {'display_name': 'builder.py:69(wrap)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:83(IRBuilder)': [13, 13, 9.999999999999999e-06, 7.5e-05]}, 'stats': [13, 13, 9.999999999999999e-06, 7.5e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/functools.py:17(update_wrapper)': [13, 13, 3.2999999999999996e-05, 5.6999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/functools.py:39(wraps)': [13, 13, 8e-06, 8e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/wrappers.py:228(UArrayArg)': {'display_name': 'wrappers.py:228(UArrayArg)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/wrappers.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:193(Break)': {'display_name': 'c_ast.py:193(Break)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:38(__ne__)': {'display_name': 'types.py:38(__ne__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:64(__init__)': [3, 3, 3e-06, 6e-06]}, 'stats': [3, 3, 3e-06, 6e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:114(__eq__)': [3, 3, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/atexit.py:6(<module>)': {'display_name': 'atexit.py:6(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/util.py:35(<module>)': [1, 1, 4.9999999999999996e-06, 7e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 7e-06], 'children': {'~:0(<hasattr>)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:214(Function)': {'display_name': 'types.py:214(Function)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:626(IntegerArrayIndexer)': {'display_name': 'arrayobj.py:626(IntegerArrayIndexer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:363(<genexpr>)': {'display_name': 'collections.py:363(<genexpr>)', 'callers': {"~:0(<method 'join' of 'str' objects>)": [149, 149, 8.4e-05, 0.00025299999999999997]}, 'stats': [149, 149, 8.4e-05, 0.00025299999999999997], 'children': {"~:0(<method 'format' of 'str' objects>)": [119, 119, 0.000169, 0.000169]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/__init__.py:1(<module>)': {'display_name': '__init__.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/add_newdocs.py:10(<module>)': [1, 1, 0.002817, 0.13624799999999998]}, 'stats': [1, 1, 0.002817, 0.13624799999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:1(<module>)': [1, 1, 0.001003, 0.003002], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/nanfunctions.py:19(<module>)': [1, 1, 2.2e-05, 2.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/info.py:148(<module>)': [1, 1, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/arraysetops.py:26(<module>)': [1, 1, 9.999999999999999e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/type_check.py:3(<module>)': [1, 1, 0.00031499999999999996, 0.127142], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/npyio.py:1(<module>)': [1, 1, 0.0009119999999999999, 0.001187], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/_version.py:7(<module>)': [1, 1, 9e-06, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/shape_base.py:1(<module>)': [1, 1, 1.7e-05, 1.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/nosetester.py:179(__init__)': [2, 2, 8e-06, 1.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/scimath.py:17(<module>)': [1, 1, 5.8e-05, 5.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/polynomial.py:4(<module>)': [1, 1, 0.000207, 0.001906], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/financial.py:10(<module>)': [1, 1, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/arraypad.py:5(<module>)': [1, 1, 9e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/arrayterator.py:9(<module>)': [1, 1, 2.9e-05, 3.5e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/_version.py:7(<module>)': {'display_name': '_version.py:7(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/__init__.py:1(<module>)': [1, 1, 9e-06, 1.1e-05]}, 'stats': [1, 1, 9e-06, 1.1e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/_version.py:18(NumpyVersion)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:556(AtomicRMW)': {'display_name': 'instructions.py:556(AtomicRMW)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:104(__init__)': {'display_name': 'ffi.py:104(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:26(parse_bitcode)': [6, 6, 1.3e-05, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:52(__init__)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:24(__init__)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:108(target_data)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:48(create_target_data)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:206(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:35(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/transforms.py:14(__init__)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/value.py:83(__init__)': [8, 8, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:11(parse_assembly)': [11, 11, 2.1e-05, 2.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:117(from_triple)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:234(create_target_library_info)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:145(create_target_machine)': [2, 2, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:173(__init__)': [2, 2, 3e-06, 3e-06]}, 'stats': [41, 41, 6.1e-05, 6.1e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:377(IdentifiedStructType)': {'display_name': 'types.py:377(IdentifiedStructType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, "~:0(<method '__contains__' of 'frozenset' objects>)": {'display_name': "~:0(<method '__contains__' of 'frozenset' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:293(namedtuple)': [149, 149, 3.5e-05, 3.5e-05]}, 'stats': [149, 149, 3.5e-05, 3.5e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:249(add_pass)': {'display_name': 'targets.py:249(add_pass)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:461(_function_pass_manager)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:451(_module_pass_manager)': [1, 1, 9e-06, 9e-06]}, 'stats': [2, 2, 1.4e-05, 1.4e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:689(_index_key)': {'display_name': 'dispatcher.py:689(_index_key)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:622(load_overload)': [6, 6, 9.999999999999999e-06, 0.000306]}, 'stats': [6, 6, 9.999999999999999e-06, 0.000306], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:483(magic_tuple)': [6, 6, 3.5e-05, 0.000296]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:228(_compile_charset)': {'display_name': 'sre_compile.py:228(_compile_charset)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:433(_compile_info)': [7, 7, 2.3e-05, 0.000134], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:64(_compile)': [290, 290, 0.000788, 0.004151]}, 'stats': [297, 297, 0.000811, 0.004285], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:256(_optimize_charset)': [297, 297, 0.001947, 0.0033399999999999997], "~:0(<method 'extend' of 'list' objects>)": [58, 58, 1.9999999999999998e-05, 1.9999999999999998e-05], "~:0(<method 'append' of 'list' objects>)": [1151, 1151, 0.00011399999999999999, 0.00011399999999999999]}}, '~:0(<numpy.core.umath.geterrobj>)': {'display_name': '~:0(<numpy.core.umath.geterrobj>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/linalg/linalg.py:76(_determine_error_states)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:2478(seterr)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:2578(geterr)': [2, 2, 0.0, 0.0]}, 'stats': [6, 6, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:79(__str__)': {'display_name': 'types.py:79(__str__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:243(descr)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:328(CmpOpGe)': {'display_name': 'builtins.py:328(CmpOpGe)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:197(Dummy)': {'display_name': 'abstracttypes.py:197(Dummy)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:162(BinOp)': {'display_name': 'builtins.py:162(BinOp)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 6e-06, 2.4e-05]}, 'stats': [1, 1, 6e-06, 2.4e-05], 'children': {'~:0(<sorted>)': [2, 2, 8e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [4, 4, 7e-06, 8e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/hermite.py:59(<module>)': {'display_name': 'hermite.py:59(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/__init__.py:15(<module>)': [1, 1, 2.3e-05, 0.000103]}, 'stats': [1, 1, 2.3e-05, 0.000103], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:86(__new__)': [1, 1, 1.7e-05, 5.9999999999999995e-05], '~:0(<numpy.core.multiarray.array>)': [4, 4, 1.1e-05, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/hermite.py:1792(Hermite)': [1, 1, 6e-06, 9e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:249(MetaDataString)': {'display_name': 'core.py:249(MetaDataString)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeinfer.py:340(GetAttrConstraint)': {'display_name': 'typeinfer.py:340(GetAttrConstraint)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeinfer.py:13(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:320(CmpOpLe)': {'display_name': 'builtins.py:320(CmpOpLe)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/manager.py:6(DataModelManager)': {'display_name': 'manager.py:6(DataModelManager)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/manager.py:1(<module>)': [1, 1, 4e-06, 4e-06]}, 'stats': [1, 1, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:221(__init__)': {'display_name': 'model.py:221(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:272(build_backend_type)': [1, 1, 3e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:1(<module>)': [2, 2, 4.9999999999999996e-06, 6e-06]}, 'stats': [3, 3, 8e-06, 9.999999999999999e-06], 'children': {"~:0(<method 'replace' of 'str' objects>)": [3, 3, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/os.py:496(__delitem__)': {'display_name': 'os.py:496(__delitem__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/__init__.py:1(<module>)': [2, 2, 4.9999999999999996e-06, 9.999999999999999e-06]}, 'stats': [2, 2, 4.9999999999999996e-06, 9.999999999999999e-06], 'children': {'~:0(<posix.unsetenv>)': [2, 2, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/function_base.py:1(<module>)': {'display_name': 'function_base.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:1(<module>)': [1, 1, 0.0005279999999999999, 0.001285]}, 'stats': [1, 1, 0.0005279999999999999, 0.001285], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/function_base.py:1640(vectorize)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/utils.py:1(<module>)': [1, 1, 7.3e-05, 0.000661], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/utils.py:117(deprecate)': [1, 1, 9e-06, 4.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/twodim_base.py:3(<module>)': [1, 1, 3.1e-05, 5.3e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:165(InterpolationError)': {'display_name': 'ConfigParser.py:165(InterpolationError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:88(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeinfer.py:862(NullDebug)': {'display_name': 'typeinfer.py:862(NullDebug)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeinfer.py:13(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:132(closing)': {'display_name': 'contextlib.py:132(closing)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:545(register_attr)': {'display_name': 'templates.py:545(register_attr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:1(<module>)': [5, 5, 2e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/collections.py:1(<module>)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/base.py:1(<module>)': [1, 1, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:1(<module>)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cffi_utils.py:5(<module>)': [1, 1, 0.0, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [7, 7, 7e-06, 1.1e-05]}, 'stats': [17, 17, 1.4e-05, 2.7e-05], 'children': {'~:0(<issubclass>)': [17, 17, 9e-06, 9e-06], "~:0(<method 'append' of 'list' objects>)": [17, 17, 4e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:309(BenchmarkResult)': {'display_name': 'utils.py:309(BenchmarkResult)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:411(_mk_bitmap)': {'display_name': 'sre_compile.py:411(_mk_bitmap)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_compile.py:256(_optimize_charset)': [58, 58, 0.00043599999999999997, 0.000529]}, 'stats': [58, 58, 0.00043599999999999997, 0.000529], 'children': {'~:0(<range>)': [58, 58, 4.2999999999999995e-05, 4.2999999999999995e-05], '~:0(<len>)': [58, 58, 8e-06, 8e-06], "~:0(<method 'translate' of 'str' objects>)": [58, 58, 4.2e-05, 4.2e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:529(BooleanAttribute)': {'display_name': 'builtins.py:529(BooleanAttribute)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/warnings.py:377(__exit__)': {'display_name': 'warnings.py:377(__exit__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/random/__init__.py:88(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeinfer.py:335(IntrinsicCallConstraint)': {'display_name': 'typeinfer.py:335(IntrinsicCallConstraint)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeinfer.py:13(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:54(ConstOpMixin)': {'display_name': 'values.py:54(ConstOpMixin)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:4(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:432(<genexpr>)': {'display_name': 'dispatcher.py:432(<genexpr>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:3(<module>)': [13, 13, 1.7e-05, 2.2e-05]}, 'stats': [13, 13, 1.7e-05, 2.2e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:96(__repr__)': [12, 12, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/value.py:63(Visibility)': {'display_name': 'value.py:63(Visibility)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/value.py:2(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/burgers_3D_constants.py:1(<module>)': {'display_name': 'burgers_3D_constants.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:395(_parse)': {'display_name': 'sre_parse.py:395(_parse)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:317(_parse_sub)': [329, 94, 0.006245, 0.015533]}, 'stats': [94, 329, 0.006245, 0.015533], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:85(closegroup)': [130, 130, 7.099999999999999e-05, 0.000122], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:149(append)': [1084, 1084, 0.000412, 0.000579], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:268(_escape)': [225, 225, 0.00027299999999999997, 0.00033999999999999997], "~:0(<method 'append' of 'list' objects>)": [554, 554, 8.8e-05, 8.8e-05], '~:0(<len>)': [704, 704, 0.00016099999999999998, 0.000249], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:92(__init__)': [329, 329, 0.000179, 0.000179], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:216(tell)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:212(get)': [2404, 2404, 0.001017, 0.003588], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:236(_class_escape)': [96, 96, 9.4e-05, 0.000144], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:218(seek)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:317(_parse_sub)': [159, 96, 0.000766, 0.009385], '~:0(<ord>)': [955, 955, 0.000118, 0.000118], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:137(__len__)': [927, 927, 0.000257, 0.000335], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:74(opengroup)': [130, 130, 0.000104, 0.00014], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:227(isname)': [14, 14, 3.6e-05, 5.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:141(__getitem__)': [927, 927, 0.000761, 0.0011229999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:145(__setitem__)': [309, 309, 9.999999999999999e-05, 9.999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:206(match)': [1431, 1431, 0.000434, 0.00091]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:80(Math_copysign)': {'display_name': 'mathdecl.py:80(Math_copysign)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:1(<module>)': [1, 1, 1e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 1e-06, 4.9999999999999996e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [2, 2, 3e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:411(Assign)': {'display_name': 'ir.py:411(Assign)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:124(__init__)': {'display_name': 'six.py:124(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [6, 6, 1.4999999999999999e-05, 1.4999999999999999e-05]}, 'stats': [6, 6, 1.4999999999999999e-05, 1.4999999999999999e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:254(BitwiseOr)': {'display_name': 'builtins.py:254(BitwiseOr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:122(_LazyModule)': {'display_name': 'six.py:122(_LazyModule)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:123(__init__)': {'display_name': 'state.py:123(__init__)', 'callers': {'shocksine.py:40(setup)': [1, 1, 9e-06, 3.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:295(__deepcopy__)': [22, 22, 0.000194, 0.000554]}, 'stats': [23, 23, 0.000203, 0.000593], 'children': {'~:0(<isinstance>)': [24, 24, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:315(new_array)': [46, 46, 0.000203, 0.000385]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:624(ListPayloadModel)': {'display_name': 'models.py:624(ListPayloadModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, "~:0(<method 'insert' of 'list' objects>)": {'display_name': "~:0(<method 'insert' of 'list' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/warnings.py:67(filterwarnings)': [5, 5, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:209(_insert)': [37, 37, 2.3e-05, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/warnings.py:96(simplefilter)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:324(_allocate_bc_arrays)': [2, 2, 1e-06, 1e-06]}, 'stats': [46, 46, 2.9e-05, 2.9e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:78(CFUNCTYPE)': {'display_name': '__init__.py:78(CFUNCTYPE)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/drvapi.py:1(<module>)': [1, 1, 3.7999999999999995e-05, 3.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:420(_init)': [1, 1, 4.8e-05, 4.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:4(<module>)': [2, 2, 5.6e-05, 5.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:265(_reset_cache)': [1, 1, 2.7e-05, 2.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:1(<module>)': [2, 2, 3.5e-05, 3.6e-05]}, 'stats': [7, 7, 0.000204, 0.000207], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:104(CFunctionType)': [6, 6, 2e-06, 2e-06], "~:0(<method 'pop' of 'dict' objects>)": [14, 14, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/__init__.py:11(_init)': {'display_name': '__init__.py:11(_init)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/__init__.py:2(<module>)': [1, 1, 4e-06, 8e-06]}, 'stats': [1, 1, 4e-06, 8e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:204(__setitem__)': [2, 2, 4e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/io_support.py:1(<module>)': {'display_name': 'io_support.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/annotations/type_annotations.py:1(<module>)': [1, 1, 3.6e-05, 3.7e-05]}, 'stats': [1, 1, 3.6e-05, 3.7e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:1096(__init__)': {'display_name': 'core.py:1096(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:21(<module>)': [6, 6, 1.7e-05, 2.8e-05]}, 'stats': [6, 6, 1.7e-05, 2.8e-05], 'children': {'~:0(<getattr>)': [12, 12, 1.1e-05, 1.1e-05]}}, '<_exec>:2(<module>)': {'display_name': '<_exec>:2(<module>)', 'callers': {'~:0(<eval>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:1(<module>)': {'display_name': 'atomicops.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/nrt.py:1(<module>)': [1, 1, 2.6e-05, 0.0009209999999999999]}, 'stats': [1, 1, 2.6e-05, 0.0009209999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:103(__init__)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:151(__init__)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:182(__init__)': [2, 2, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [3, 3, 7e-06, 0.000887], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:366(__init__)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:688(__repr__)': {'display_name': 'util.py:688(__repr__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:276(run)': [11, 11, 1.3e-05, 1.3e-05]}, 'stats': [11, 11, 1.3e-05, 1.3e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:63(DistutilsTemplateError)': {'display_name': 'errors.py:63(DistutilsTemplateError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:9(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:234(__init__)': {'display_name': 'values.py:234(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:18(add_metadata)': [1, 1, 2e-06, 9.999999999999999e-06]}, 'stats': [1, 1, 2e-06, 9.999999999999999e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:138(__init__)': [1, 1, 2e-06, 7e-06], "~:0(<method 'append' of 'list' objects>)": [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:171(__init__)': {'display_name': 'driver.py:171(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:12(<module>)': [1, 1, 1.7e-05, 0.120333]}, 'stats': [1, 1, 1.7e-05, 0.120333], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:59(find_driver)': [1, 1, 2.8e-05, 0.12031599999999999]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:177(BinaryOp)': {'display_name': 'c_ast.py:177(BinaryOp)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/rangeobj.py:3(<module>)': {'display_name': 'rangeobj.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/base.py:1(<module>)': [1, 1, 2.3e-05, 0.000285]}, 'stats': [1, 1, 2.3e-05, 0.000285], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:99(decorate)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:91(lower_cast)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/rangeobj.py:20(make_range_impl)': [3, 3, 7.099999999999999e-05, 0.00026199999999999997]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:97(__init__)': {'display_name': 'devices.py:97(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:12(<module>)': [1, 1, 1.1e-05, 2.2e-05]}, 'stats': [1, 1, 1.1e-05, 2.2e-05], 'children': {'~:0(<thread.allocate_lock>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/servicelib/threadlocal.py:10(__init__)': [1, 1, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1143(currentThread)': [1, 1, 2e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/difflib.py:1670(HtmlDiff)': {'display_name': 'difflib.py:1670(HtmlDiff)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/difflib.py:27(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:558(_Chainmap)': {'display_name': 'ConfigParser.py:558(_Chainmap)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:88(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:73(PreprocessError)': {'display_name': 'errors.py:73(PreprocessError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:9(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:392(_KernelImpl)': {'display_name': 'npyimpl.py:392(_KernelImpl)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/npyimpl.py:370(_ufunc_db_function)': [76, 76, 4.9999999999999996e-05, 4.9999999999999996e-05]}, 'stats': [76, 76, 4.9999999999999996e-05, 4.9999999999999996e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/bufproto.py:3(<module>)': {'display_name': 'bufproto.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/typeof.py:1(<module>)': [1, 1, 0.000771, 0.000775]}, 'stats': [1, 1, 0.000771, 0.000775], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [4, 4, 4e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/sigutils.py:14(normalize_signature)': {'display_name': 'sigutils.py:14(normalize_signature)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:325(compile)': [6, 6, 9e-06, 1.4e-05]}, 'stats': [6, 6, 9e-06, 1.4e-05], 'children': {'~:0(<isinstance>)': [12, 12, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:596(ComplexModel)': {'display_name': 'models.py:596(ComplexModel)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:148(_branch_helper)': {'display_name': 'builder.py:148(_branch_helper)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:15(__enter__)': [3, 3, 1e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:21(__exit__)': [3, 3, 3e-06, 2.8e-05]}, 'stats': [6, 6, 4e-06, 3.2e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:477(branch)': [1, 1, 4e-06, 2.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:89(block)': [3, 3, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/builder.py:115(position_at_end)': [3, 3, 2e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/__init__.py:77(TimeoutError)': {'display_name': '__init__.py:77(TimeoutError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/__init__.py:44(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:21(<module>)': {'display_name': 'core.py:21(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/__init__.py:41(<module>)': [1, 1, 0.00046199999999999995, 0.001989]}, 'stats': [1, 1, 0.00046199999999999995, 0.001989], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:130(MaskError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:783(__init__)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6188(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:2474(_arraymethod)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:918(_MaskedBinaryOperation)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:762(__init__)': [3, 3, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6202(__init__)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6262(__init__)': [23, 23, 3.2e-05, 0.00048699999999999997], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:938(__init__)': [18, 18, 3.2999999999999996e-05, 6.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:2545(MaskedIterator)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:860(__init__)': [27, 27, 5.9999999999999995e-05, 0.000111], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:86(doc_note)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:2659(MaskedArray)': [1, 1, 0.000634, 0.0006979999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:827(_DomainGreaterEqual)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:2305(_MaskedPrintOption)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:2311(__init__)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:812(_DomainGreater)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:792(_DomainSafeDivide)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:833(__init__)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:1075(_DomainedBinaryOperation)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:775(_DomainTan)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6127(_extrema_operation)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:5833(mvoid)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:7709(__init__)': [8, 8, 1.2e-05, 9.499999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6023(__new__)': [1, 1, 2e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:7696(_convert2ma)': [1, 1, 1e-06, 1e-06], "~:0(<method 'update' of 'dict' objects>)": [4, 4, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:818(__init__)': [3, 3, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6016(MaskedConstant)': [1, 1, 3e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:753(_DomainCheckInterval)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:122(MAError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6184(_minimum_operation)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:1096(__init__)': [6, 6, 1.7e-05, 2.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:842(_MaskedUnaryOperation)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6198(_maximum_operation)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:798(__init__)': [6, 6, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:6251(_frommethod)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:512(from_function)': {'display_name': '__init__.py:512(from_function)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:55(signature)': [8, 8, 0.000184, 0.0010689999999999999]}, 'stats': [8, 8, 0.000184, 0.0010689999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:237(__init__)': [45, 45, 9.099999999999999e-05, 0.000415], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:474(__init__)': [8, 8, 3.2e-05, 0.00043], "~:0(<method 'append' of 'list' objects>)": [45, 45, 9e-06, 9e-06], '~:0(<getattr>)': [24, 24, 1.9999999999999998e-05, 1.9999999999999998e-05], "~:0(<method 'get' of 'dict' objects>)": [53, 53, 9e-06, 9e-06], '~:0(<isinstance>)': [8, 8, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:6(<module>)': {'display_name': '__init__.py:6(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:1(<module>)': [1, 1, 0.000563, 0.000584]}, 'stats': [1, 1, 0.000563, 0.000584], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:179(_void)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:188(__new__)': [5, 5, 6e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:207(Parameter)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/version.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:347(BoundArguments)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:444(Signature)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:187(_ParameterKind)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:183(_empty)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:137(__init__)': {'display_name': 'model.py:137(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:41(SerialSuite)': {'display_name': 'testing.py:41(SerialSuite)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:33(FunctionPassManager)': {'display_name': 'passmanagers.py:33(FunctionPassManager)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:234(key)': {'display_name': 'types.py:234(key)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:99(__hash__)': [280, 280, 8.8e-05, 8.8e-05]}, 'stats': [280, 280, 8.8e-05, 8.8e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:346(RefleakTestRunner)': {'display_name': 'testing.py:346(RefleakTestRunner)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/transforms.py:4(Visitor)': {'display_name': 'transforms.py:4(Visitor)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/transforms.py:1(<module>)': [1, 1, 7e-06, 7e-06]}, 'stats': [1, 1, 7e-06, 7e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:802(DeferredAttribute)': {'display_name': 'builtins.py:802(DeferredAttribute)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:335(StaticGetItemArray)': {'display_name': 'arraydecl.py:335(StaticGetItemArray)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:300(_is_owned)': {'display_name': 'threading.py:300(_is_owned)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:373(notify)': [1, 1, 1e-06, 2e-06]}, 'stats': [1, 1, 1e-06, 2e-06], 'children': {"~:0(<method 'acquire' of 'thread.lock' objects>)": [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:120(<module>)': {'display_name': 'SocketServer.py:120(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:25(<module>)': [1, 1, 5.4999999999999995e-05, 0.000126]}, 'stats': [1, 1, 5.4999999999999995e-05, 0.000126], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:720(DatagramRequestHandler)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:677(StreamRequestHandler)': [1, 1, 1e-06, 1e-06], '~:0(<hasattr>)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:617(ThreadingTCPServer)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:627(ThreadingUnixStreamServer)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:621(UnixStreamServer)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:613(ForkingUDPServer)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:510(ForkingMixIn)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:616(ThreadingUDPServer)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:631(BaseRequestHandler)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:484(UDPServer)': [1, 1, 2e-06, 2e-06], "~:0(<method 'extend' of 'list' objects>)": [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:358(TCPServer)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:629(ThreadingUnixDatagramServer)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:614(ForkingTCPServer)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:624(UnixDatagramServer)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:585(ThreadingMixIn)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:160(BaseServer)': [1, 1, 5.9e-05, 5.9e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/value.py:79(ValueRef)': {'display_name': 'value.py:79(ValueRef)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/value.py:2(<module>)': [1, 1, 1.2e-05, 1.6e-05]}, 'stats': [1, 1, 1.2e-05, 1.6e-05], 'children': {"~:0(<method 'setter' of 'property' objects>)": [4, 4, 4e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:41(initialize)': {'display_name': 'passmanagers.py:41(initialize)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:69(_optimize_functions)': [7, 7, 2.2e-05, 2.2e-05]}, 'stats': [7, 7, 2.2e-05, 2.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:130(__init__)': {'display_name': 'utils.py:130(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/compiler.py:1(<module>)': [1, 1, 3e-06, 4e-06]}, 'stats': [1, 1, 3e-06, 4e-06], 'children': {"~:0(<method 'copy' of 'dict' objects>)": [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/deviceufunc.py:3(<module>)': {'display_name': 'deviceufunc.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/vectorizers.py:1(<module>)': [1, 1, 0.000167, 0.000244]}, 'stats': [1, 1, 0.000167, 0.000244], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/deviceufunc.py:422(DeviceGUFuncVectorize)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/deviceufunc.py:631(GenerializedUFunc)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/deviceufunc.py:534(GUFuncEngine)': [1, 1, 1e-06, 1e-06], '~:0(<compile>)': [1, 1, 5.6999999999999996e-05, 5.6999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/deviceufunc.py:358(DeviceVectorize)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/deviceufunc.py:609(GUFuncSchedule)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/deviceufunc.py:727(GUFuncCallSteps)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/deviceufunc.py:82(UFuncMechanism)': [1, 1, 6e-06, 6e-06], '~:0(<eval>)': [1, 1, 2e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:532(InlineAsm)': {'display_name': 'instructions.py:532(InlineAsm)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:120(PairSecond)': {'display_name': 'builtins.py:120(PairSecond)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:527(ascontiguousarray)': {'display_name': 'numeric.py:527(ascontiguousarray)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:283(step_hyperbolic)': [9608, 9608, 0.010929999999999999, 0.156267]}, 'stats': [9608, 9608, 0.010929999999999999, 0.156267], 'children': {'~:0(<numpy.core.multiarray.array>)': [9608, 9608, 0.145337, 0.145337]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/compat/_inspect.py:7(<module>)': {'display_name': '_inspect.py:7(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/compat/__init__.py:10(<module>)': [1, 1, 9.999999999999999e-06, 9.999999999999999e-06]}, 'stats': [1, 1, 9.999999999999999e-06, 9.999999999999999e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:69(__delitem__)': {'display_name': 'collections.py:69(__delitem__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:274(options)': [12, 12, 1.8e-05, 2.1e-05]}, 'stats': [12, 12, 1.8e-05, 2.1e-05], 'children': {"~:0(<method 'pop' of 'dict' objects>)": [12, 12, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:16(Signature)': {'display_name': 'templates.py:16(Signature)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:3(<module>)': [1, 1, 9.999999999999999e-06, 9.999999999999999e-06]}, 'stats': [1, 1, 9.999999999999999e-06, 9.999999999999999e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:358(LiteralStructType)': {'display_name': 'types.py:358(LiteralStructType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:217(MissingSectionHeaderError)': {'display_name': 'ConfigParser.py:217(MissingSectionHeaderError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:88(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:359(_FuncPtr)': {'display_name': '__init__.py:359(_FuncPtr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:349(__init__)': [4, 4, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [4, 4, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:97(__str__)': {'display_name': 'values.py:97(__str__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:129(__hash__)': [12, 12, 1.1e-05, 7.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:120(__eq__)': [16, 16, 6e-06, 8.499999999999999e-05]}, 'stats': [28, 28, 1.7e-05, 0.000163], 'children': {"~:0(<method 'format' of 'str' objects>)": [28, 28, 4.4999999999999996e-05, 8.099999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:100(get_reference)': [28, 28, 2.9e-05, 6.5e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:961(__init__)': {'display_name': '__init__.py:961(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1063(_fixupParents)': [4, 4, 1.1e-05, 1.1e-05]}, 'stats': [4, 4, 1.1e-05, 1.1e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:133(Value)': {'display_name': 'values.py:133(Value)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:4(<module>)': [1, 1, 6e-06, 8e-06]}, 'stats': [1, 1, 6e-06, 8e-06], 'children': {"~:0(<method 'setter' of 'property' objects>)": [1, 1, 2e-06, 2e-06]}}, '~:0(<_cffi_backend.new_array_type>)': {'display_name': '~:0(<_cffi_backend.new_array_type>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:518(global_cache)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/_iotools.py:452(ConverterLockError)': {'display_name': '_iotools.py:452(ConverterLockError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/_iotools.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:153(new)': {'display_name': 'core.py:153(new)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:381(_make_cas_function)': [1, 1, 3e-06, 1.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:419(_create_empty_module)': [9, 9, 1.7e-05, 0.000107]}, 'stats': [10, 10, 1.9999999999999998e-05, 0.00012299999999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:6(__init__)': [10, 10, 4.9e-05, 0.000103]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/misc_util.py:695(Configuration)': {'display_name': 'misc_util.py:695(Configuration)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/misc_util.py:1(<module>)': [1, 1, 1.1e-05, 1.1e-05]}, 'stats': [1, 1, 1.1e-05, 1.1e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:237(__init__)': {'display_name': '__init__.py:237(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:512(from_function)': [45, 45, 9.099999999999999e-05, 0.000415]}, 'stats': [45, 45, 9.099999999999999e-05, 0.000415], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:138(match)': [45, 45, 5.4999999999999995e-05, 0.00032399999999999996]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:131(TestCase)': {'display_name': 'case.py:131(TestCase)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:1(<module>)': [1, 1, 1.8e-05, 1.9999999999999998e-05]}, 'stats': [1, 1, 1.8e-05, 1.9999999999999998e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/case.py:607(_deprecate)': [7, 7, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:184(__init__)': {'display_name': 'types.py:184(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [46, 46, 0.000127, 0.000148]}, 'stats': [46, 46, 0.000127, 0.000148], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:85(__init__)': [46, 46, 9e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:96(__repr__)': [45, 45, 1.2e-05, 1.2e-05]}}, "~:0(<method 'flush' of 'file' objects>)": {'display_name': "~:0(<method 'flush' of 'file' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:830(flush)': [22, 22, 0.00019999999999999998, 0.00019999999999999998]}, 'stats': [22, 22, 0.00019999999999999998, 0.00019999999999999998], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:193(Module)': {'display_name': 'types.py:193(Module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:84(_LazyDescr)': {'display_name': 'six.py:84(_LazyDescr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:280(GlobalVariable)': {'display_name': 'values.py:280(GlobalVariable)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/ffiplatform.py:1(<module>)': {'display_name': 'ffiplatform.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/__init__.py:1(<module>)': [1, 1, 0.000118, 0.000129]}, 'stats': [1, 1, 0.000118, 0.000129], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/ffiplatform.py:8(VerificationMissing)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 1.1e-05, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/ffiplatform.py:4(VerificationError)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:88(<module>)': {'display_name': 'ConfigParser.py:88(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/config.py:5(<module>)': [1, 1, 0.000184, 0.001647]}, 'stats': [1, 1, 0.000184, 0.001647], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:588(ConfigParser)': [1, 1, 3e-06, 0.000183], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:192(InterpolationDepthError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:139(NoSectionError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:155(NoOptionError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:231(RawConfigParser)': [1, 1, 8e-06, 0.001134], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:188(InterpolationSyntaxError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:204(ParsingError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:217(MissingSectionHeaderError)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:686(SafeConfigParser)': [1, 1, 2e-06, 0.000138], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:558(_Chainmap)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:112(Error)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:165(InterpolationError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:147(DuplicateSectionError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:174(InterpolationMissingOptionError)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:506(<genexpr>)': {'display_name': '__init__.py:506(<genexpr>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/_abcoll.py:548(update)': [53, 53, 2.9e-05, 3.9999999999999996e-05]}, 'stats': [53, 53, 2.9e-05, 3.9999999999999996e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:266(name)': [45, 45, 1.1e-05, 1.1e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:247(DatetimeCmpLt)': {'display_name': 'npdatetime.py:247(DatetimeCmpLt)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:929(_open)': {'display_name': '__init__.py:929(_open)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:887(__init__)': [1, 1, 3e-06, 0.001785]}, 'stats': [1, 1, 3e-06, 0.001785], 'children': {'~:0(<open>)': [1, 1, 0.001782, 0.001782]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:2865(__init__)': {'display_name': 'numeric.py:2865(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/linalg/linalg.py:76(_determine_error_states)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {"~:0(<method 'pop' of 'dict' objects>)": [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/collections.py:13(InSequence)': {'display_name': 'collections.py:13(InSequence)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/collections.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:754(UnaryOp)': {'display_name': 'c_ast.py:754(UnaryOp)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:138(__init__)': {'display_name': 'values.py:138(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:508(__init__)': [13, 13, 2.4e-05, 0.000112], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:461(__init__)': [21, 21, 4.8e-05, 0.000234], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:234(__init__)': [1, 1, 2e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:194(__init__)': [3, 3, 4.9999999999999996e-06, 2.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/instructions.py:13(__init__)': [37, 37, 9.3e-05, 0.00041299999999999996], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:274(__init__)': [8, 8, 2.2e-05, 9.2e-05]}, 'stats': [83, 83, 0.000194, 0.0008849999999999999], 'children': {'~:0(<isinstance>)': [83, 83, 1.8999999999999998e-05, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:166(name)': [83, 83, 8.499999999999999e-05, 0.000645], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/_utils.py:37(get_child)': [8, 8, 1.1e-05, 2.7e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:341(TupleCompare)': {'display_name': 'builtins.py:341(TupleCompare)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:7709(__init__)': {'display_name': 'core.py:7709(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:21(<module>)': [8, 8, 1.2e-05, 9.499999999999999e-05]}, 'stats': [8, 8, 1.2e-05, 9.499999999999999e-05], 'children': {'~:0(<getattr>)': [8, 8, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:7714(getdoc)': [8, 8, 9.999999999999999e-06, 8.099999999999999e-05]}}, '~:0(<sys._getframe>)': {'display_name': '~:0(<sys._getframe>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:662(exec_)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:293(namedtuple)': [30, 30, 3.1e-05, 3.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:70(<lambda>)': [11, 11, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/nosetester.py:179(__init__)': [21, 21, 9.999999999999999e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:644(exec_)': [1, 1, 1e-06, 1e-06]}, 'stats': [64, 64, 5.1e-05, 5.1e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:365(DType)': {'display_name': 'types.py:365(DType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:188(InterpolationSyntaxError)': {'display_name': 'ConfigParser.py:188(InterpolationSyntaxError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:88(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:143(search)': {'display_name': 're.py:143(search)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:277(_check_for_unavailable_sdk)': [1, 1, 3e-06, 9.999999999999999e-06]}, 'stats': [1, 1, 3e-06, 9.999999999999999e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:230(_compile)': [1, 1, 2e-06, 2e-06], "~:0(<method 'search' of '_sre.SRE_Pattern' objects>)": [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:20(_DeviceList)': {'display_name': 'devices.py:20(_DeviceList)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/devices.py:12(<module>)': [1, 1, 4e-06, 4e-06]}, 'stats': [1, 1, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/sigutils.py:1(<module>)': {'display_name': 'sigutils.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/decorators.py:3(<module>)': [1, 1, 0.003493, 0.10167599999999999]}, 'stats': [1, 1, 0.003493, 0.10167599999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/__init__.py:1(<module>)': [1, 1, 0.001001, 0.08824699999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 0.003985, 0.009934], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numerictypes.py:338(_add_integer_aliases)': {'display_name': 'numerictypes.py:338(_add_integer_aliases)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numerictypes.py:82(<module>)': [1, 1, 4.4e-05, 4.6e-05]}, 'stats': [1, 1, 4.4e-05, 4.6e-05], 'children': {"~:0(<method 'keys' of 'dict' objects>)": [5, 5, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:152(Module)': {'display_name': 'core.py:152(Module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:1(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:1(<module>)': {'display_name': 'passmanagers.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/__init__.py:3(<module>)': [1, 1, 9.3e-05, 0.00014]}, 'stats': [1, 1, 9.3e-05, 0.00014], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:33(FunctionPassManager)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:22(ModulePassManager)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:375(__getattr__)': [7, 7, 7e-06, 4.4999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:14(PassManager)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/__init__.py:2(<module>)': {'display_name': '__init__.py:2(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/__init__.py:3(<module>)': [1, 1, 0.0017649999999999999, 0.020166999999999997]}, 'stats': [1, 1, 0.0017649999999999999, 0.020166999999999997], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [2, 2, 1e-06, 1e-06], '~:0(<hasattr>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/array_exprs.py:1(<module>)': [1, 1, 0.000226, 0.000343], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/decorators.py:1(<module>)': [1, 1, 0.0036469999999999996, 0.018046], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/__init__.py:11(_init)': [1, 1, 4e-06, 8e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:59(__init__)': {'display_name': 'threading.py:59(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:260(__init__)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:561(__init__)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:647(__init__)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:132(__init__)': [4, 4, 2e-06, 2e-06]}, 'stats': [8, 8, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:369(__str__)': {'display_name': 'types.py:369(__str__)', 'callers': {"~:0(<method 'format' of 'str' objects>)": [6, 6, 4.9999999999999996e-06, 8.999999999999999e-05]}, 'stats': [6, 6, 4.9999999999999996e-06, 8.999999999999999e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/types.py:337(structure_repr)': [6, 6, 2.4999999999999998e-05, 8.499999999999999e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/fft/info.py:184(<module>)': {'display_name': 'info.py:184(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/fft/__init__.py:1(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/bytecode.py:338(CustomByteCode)': {'display_name': 'bytecode.py:338(CustomByteCode)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/bytecode.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:1777(set_string_function)': {'display_name': 'numeric.py:1777(set_string_function)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:1(<module>)': [2, 2, 4.9999999999999996e-06, 9.999999999999999e-06]}, 'stats': [2, 2, 4.9999999999999996e-06, 9.999999999999999e-06], 'children': {'~:0(<numpy.core.multiarray.set_string_function>)': [2, 2, 4.9999999999999996e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/acoustics_variable_1D_constants.py:1(<module>)': {'display_name': 'acoustics_variable_1D_constants.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/riemann/__init__.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:231(__init__)': {'display_name': 'extras.py:231(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:10(<module>)': [9, 9, 9e-06, 0.00014199999999999998]}, 'stats': [9, 9, 9e-06, 0.00014199999999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:235(getdoc)': [9, 9, 1.2e-05, 0.000133]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/legendre.py:1769(Legendre)': {'display_name': 'legendre.py:1769(Legendre)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/legendre.py:83(<module>)': [1, 1, 6e-06, 7e-06]}, 'stats': [1, 1, 6e-06, 7e-06], 'children': {'~:0(<numpy.core.multiarray.array>)': [2, 2, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:426(<genexpr>)': {'display_name': 'values.py:426(<genexpr>)', 'callers': {"~:0(<method 'join' of 'str' objects>)": [24, 24, 1.9999999999999998e-05, 3.9e-05]}, 'stats': [24, 24, 1.9999999999999998e-05, 3.9e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:492(__str__)': [8, 8, 9.999999999999999e-06, 1.8999999999999998e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:29(DistutilsArgError)': {'display_name': 'errors.py:29(DistutilsArgError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:9(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/__init__.py:1(<module>)': {'display_name': '__init__.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/numpy_support.py:1(<module>)': [1, 1, 6e-06, 6e-06]}, 'stats': [1, 1, 6e-06, 6e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/api.py:1(<module>)': {'display_name': 'api.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/__init__.py:1(<module>)': [1, 1, 0.000629, 0.0006929999999999999]}, 'stats': [1, 1, 0.000629, 0.0006929999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/api.py:18(FFIError)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/lock.py:1(<module>)': [1, 1, 4.8e-05, 4.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/api.py:21(CDefError)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/api.py:30(FFI)': [1, 1, 1.2e-05, 1.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [3, 3, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:484(UDPServer)': {'display_name': 'SocketServer.py:484(UDPServer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:120(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, "~:0(<method 'index' of 'str' objects>)": {'display_name': "~:0(<method 'index' of 'str' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/__init__.py:9(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:428(LibraryLoader)': {'display_name': '__init__.py:428(LibraryLoader)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:499(Block)': {'display_name': 'values.py:499(Block)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:4(<module>)': [1, 1, 1.1e-05, 1.1e-05]}, 'stats': [1, 1, 1.1e-05, 1.1e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:452(CClass)': {'display_name': 'index_tricks.py:452(CClass)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/log.py:71(set_verbosity)': {'display_name': 'log.py:71(set_verbosity)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/log.py:2(<module>)': [1, 1, 4e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4e-06, 4.9999999999999996e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/log.py:56(set_threshold)': [1, 1, 1e-06, 1e-06], "~:0(<method 'get' of 'dict' objects>)": [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_parser.py:9(<module>)': {'display_name': 'c_parser.py:9(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/__init__.py:10(<module>)': [1, 1, 0.004661, 0.008199999999999999]}, 'stats': [1, 1, 0.004661, 0.008199999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_lexer.py:9(<module>)': [1, 1, 0.000985, 0.0013959999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_parser.py:19(CParser)': [1, 1, 0.0006619999999999999, 0.0006619999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 0.000785, 0.000824], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/__init__.py:4(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/yacc.py:62(<module>)': [1, 1, 0.000343, 0.000579], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [7, 7, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ast_transforms.py:10(<module>)': [1, 1, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/plyparser.py:12(<module>)': [1, 1, 6.4e-05, 6.7e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:50(__get__)': {'display_name': '__init__.py:50(__get__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:150(__new__)': [429, 429, 0.00014099999999999998, 0.00019899999999999999]}, 'stats': [429, 429, 0.00014099999999999998, 0.00019899999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:780(value)': [429, 429, 5.8e-05, 5.8e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:104(CFunctionType)': {'display_name': '__init__.py:104(CFunctionType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:78(CFUNCTYPE)': [6, 6, 2e-06, 2e-06]}, 'stats': [6, 6, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/scanner.py:2(<module>)': {'display_name': 'scanner.py:2(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/json/decoder.py:2(<module>)': [1, 1, 0.000339, 0.000963]}, 'stats': [1, 1, 0.000339, 0.000963], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [1, 1, 3e-06, 0.0006219999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/_endian.py:26(_swapped_meta)': {'display_name': '_endian.py:26(_swapped_meta)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/_endian.py:4(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1559(getLogger)': {'display_name': '__init__.py:1559(getLogger)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:160(__init__)': [1, 1, 4e-06, 2.4999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/compiler.py:2(<module>)': [1, 1, 4.9999999999999996e-06, 8.599999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/nvvm.py:3(<module>)': [1, 1, 1e-06, 4.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:169(is_valid)': [2, 2, 2e-06, 3.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:183(_install_loggers)': [6, 6, 6e-06, 0.000106], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:85(__init__)': [1, 1, 3e-06, 2.3e-05]}, 'stats': [12, 12, 2.1e-05, 0.00032399999999999996], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1017(getLogger)': [12, 12, 5.9999999999999995e-05, 0.000303]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:26(DistutilsGetoptError)': {'display_name': 'errors.py:26(DistutilsGetoptError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/errors.py:9(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy.py:198(_deepcopy_atomic)': {'display_name': 'copy.py:198(_deepcopy_atomic)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/copy.py:145(deepcopy)': [1739, 1739, 0.00019099999999999998, 0.00019099999999999998]}, 'stats': [1739, 1739, 0.00019099999999999998, 0.00019099999999999998], 'children': {}}, '~:0(<hash>)': {'display_name': '~:0(<hash>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:63(__hash__)': [21, 13, 1.1e-05, 3.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:129(__hash__)': [12, 12, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:163(__hash__)': [16, 16, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/values.py:216(__hash__)': [6, 6, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:99(__hash__)': [15718, 15473, 0.001245, 0.0014559999999999998]}, 'stats': [15520, 15773, 0.001264, 0.001495], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/model.py:63(__hash__)': [8, 8, 1.1e-05, 1.9999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:99(__hash__)': [245, 243, 0.000169, 0.00023799999999999998]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:189(<lambda>)': {'display_name': 'config.py:189(<lambda>)', 'callers': {'~:0(<map>)': [7, 7, 3e-06, 4.9999999999999996e-06]}, 'stats': [7, 7, 3e-06, 4.9999999999999996e-06], 'children': {"~:0(<method 'strip' of 'str' objects>)": [7, 7, 2e-06, 2e-06]}}, '~:0(<math.exp>)': {'display_name': '~:0(<math.exp>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/random.py:40(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:83(_optimize_final_module)': {'display_name': 'codegen.py:83(_optimize_final_module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:157(finalize)': [1, 1, 3e-06, 0.00698]}, 'stats': [1, 1, 3e-06, 0.00698], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:29(run)': [1, 1, 0.006977, 0.006977]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/compat/_inspect.py:144(<lambda>)': {'display_name': '_inspect.py:144(<lambda>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/compat/_inspect.py:142(formatargspec)': [3, 3, 1e-06, 1e-06]}, 'stats': [3, 3, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:144(Random_triangular)': {'display_name': 'randomdecl.py:144(Random_triangular)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:1(<module>)': [1, 1, 3e-06, 6e-06]}, 'stats': [1, 1, 3e-06, 6e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [2, 2, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:400(notifyAll)': {'display_name': 'threading.py:400(notifyAll)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:576(set)': [1, 1, 2e-06, 8e-06]}, 'stats': [1, 1, 2e-06, 8e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:373(notify)': [1, 1, 3e-06, 6e-06], '~:0(<len>)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:483(magic_tuple)': {'display_name': 'codegen.py:483(magic_tuple)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:689(_index_key)': [6, 6, 3.5e-05, 0.000296]}, 'stats': [6, 6, 3.5e-05, 0.000296], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:76(__del__)': [12, 12, 7e-06, 1.8e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:124(triple)': [6, 6, 9.7e-05, 0.000147], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:21(get_host_cpu_name)': [6, 6, 5.4e-05, 9.6e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numerictypes.py:229(bitname)': {'display_name': 'numerictypes.py:229(bitname)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numerictypes.py:301(_add_aliases)': [26, 26, 0.000108, 0.000166]}, 'stats': [26, 26, 0.000108, 0.000166], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numerictypes.py:216(_evalname)': [21, 21, 4.9e-05, 4.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numerictypes.py:154(english_upper)': [26, 26, 4.9999999999999996e-06, 9e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': {'display_name': 're.py:192(compile)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/tokenize.py:23(<module>)': [2, 2, 4e-06, 0.000441], '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/decoder.py:2(<module>)': [2, 2, 3e-06, 0.00033], '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/encoder.py:2(<module>)': [3, 3, 4.9999999999999996e-06, 0.00045], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/numpy_support.py:1(<module>)': [2, 2, 6e-06, 0.000726], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/utils.py:1(<module>)': [1, 1, 3e-06, 0.000584], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/ccompiler.py:1(<module>)': [4, 4, 1.1e-05, 0.000703], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/misc_util.py:1(<module>)': [4, 4, 1.2e-05, 0.001382], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/lex.py:34(<module>)': [1, 1, 1e-06, 0.000175], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/command/build_ext.py:5(<module>)': [1, 1, 3e-06, 0.00039], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:25(<module>)': [1, 1, 3e-06, 0.00031], '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/scanner.py:2(<module>)': [1, 1, 3e-06, 0.0006219999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:1(<module>)': [3, 3, 7e-06, 0.000887], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/_internal.py:6(<module>)': [3, 3, 4e-06, 0.001217], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/dist.py:5(<module>)': [1, 1, 2e-06, 0.00020099999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/yacc.py:62(<module>)': [1, 1, 3e-06, 0.000216], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/npy_pkg_config.py:1(<module>)': [1, 1, 2e-06, 0.000258], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/version.py:228(LooseVersion)': [1, 1, 3e-06, 0.000337], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/decorators.py:1(<module>)': [1, 1, 3e-06, 0.00026199999999999997], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:350(BaseConfigurator)': [5, 5, 7e-06, 0.000998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/polynomial.py:4(<module>)': [1, 1, 2e-06, 0.000222], '/Users/aron/anaconda3/envs/python2/lib/python2.7/warnings.py:67(filterwarnings)': [10, 10, 1.1e-05, 0.000707], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:231(RawConfigParser)': [3, 3, 6e-06, 0.001126], '/Users/aron/anaconda3/envs/python2/lib/python2.7/glob.py:1(<module>)': [1, 1, 4e-06, 0.00015999999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/__init__.py:55(_ensure_llvm)': [1, 1, 3e-06, 0.000332], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/cparser.py:1(<module>)': [7, 7, 1.3e-05, 0.001967], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/annotations/type_annotations.py:1(<module>)': [1, 1, 1e-06, 0.000145], '/Users/aron/anaconda3/envs/python2/lib/python2.7/platform.py:10(<module>)': [10, 10, 1.9999999999999998e-05, 0.005013999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/nvvm.py:3(<module>)': [2, 2, 4e-06, 0.00035], '~:0(<map>)': [4, 4, 9.999999999999999e-06, 0.009446], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/fancy_getopt.py:9(<module>)': [2, 2, 6e-06, 0.0007199999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/difflib.py:27(<module>)': [1, 1, 3e-06, 0.00027299999999999997], '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/loader.py:1(<module>)': [1, 1, 3e-06, 0.000267], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:686(SafeConfigParser)': [1, 1, 1e-06, 0.000136], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/sysconfig.py:10(<module>)': [3, 3, 6e-06, 0.000806], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/version.py:63(StrictVersion)': [1, 1, 3e-06, 0.0007149999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:588(ConfigParser)': [1, 1, 1e-06, 0.00017999999999999998]}, 'stats': [88, 88, 0.00018199999999999998, 0.033055], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:230(_compile)': [88, 88, 0.001006, 0.032873]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:277(_check_for_unavailable_sdk)': {'display_name': '_osx_support.py:277(_check_for_unavailable_sdk)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:368(customize_config_vars)': [1, 1, 3.5e-05, 0.000111]}, 'stats': [1, 1, 3.5e-05, 0.000111], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/genericpath.py:23(exists)': [1, 1, 4e-06, 1.4999999999999999e-05], "~:0(<method 'get' of 'dict' objects>)": [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_osx_support.py:120(_save_modified_value)': [9, 9, 6e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:143(search)': [1, 1, 3e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/UserDict.py:103(__contains__)': [9, 9, 4e-06, 4e-06], "~:0(<method 'group' of '_sre.SRE_Match' objects>)": [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:148(sub)': [9, 9, 7e-06, 3.9999999999999996e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/callwrapper.py:9(_ArgManager)': {'display_name': 'callwrapper.py:9(_ArgManager)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/callwrapper.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/cudadrv/driver.py:4(<module>)': {'display_name': 'driver.py:4(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/cudadrv/__init__.py:1(<module>)': [1, 1, 2.4e-05, 2.4e-05]}, 'stats': [1, 1, 2.4e-05, 2.4e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/cudadrv/driver.py:9(FakeDriver)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:145(__enter__)': {'display_name': 'ffi.py:145(__enter__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:69(_optimize_functions)': [1, 1, 0.0, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:461(_function_pass_manager)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:451(_module_pass_manager)': [1, 1, 2e-06, 2e-06]}, 'stats': [3, 3, 4e-06, 4.9999999999999996e-06], 'children': {'~:0(<hasattr>)': [3, 3, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/lex.py:63(LexError)': {'display_name': 'lex.py:63(LexError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/lex.py:34(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '~:0(<posix.uname>)': {'display_name': '~:0(<posix.uname>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/util.py:16(get_platform)': [1, 1, 1.4999999999999999e-05, 1.4999999999999999e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ctypes/__init__.py:4(<module>)': [1, 1, 1.8999999999999998e-05, 1.8999999999999998e-05]}, 'stats': [2, 2, 3.4e-05, 3.4e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:140(add_ir_module)': {'display_name': 'codegen.py:140(add_ir_module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:171(compile_nrt_functions)': [1, 1, 1.4999999999999999e-05, 0.019288]}, 'stats': [1, 1, 1.4999999999999999e-05, 0.019288], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:76(__del__)': [2, 2, 1e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/module.py:114(__repr__)': [1, 1, 6e-06, 0.0022789999999999998], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:151(add_llvm_module)': [1, 1, 1.1e-05, 0.010629999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:104(name)': [1, 1, 4e-06, 4.9999999999999996e-06], '~:0(<isinstance>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:89(verify)': [1, 1, 0.001276, 0.001295], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:154(__del__)': [1, 1, 0.0, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:11(parse_assembly)': [1, 1, 0.0050479999999999995, 0.005058], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:60(_raise_if_finalized)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:120(dirname)': {'display_name': 'posixpath.py:120(dirname)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:79(TestLoader)': [2, 2, 6e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/__init__.py:1(<module>)': [1, 1, 3e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:478(get_cache_path)': [8, 8, 2.4e-05, 3.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:1(<module>)': [1, 1, 7e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/nosetester.py:179(__init__)': [21, 21, 5.6e-05, 8.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/sysconfig.py:10(<module>)': [1, 1, 4e-06, 4.9999999999999996e-06]}, 'stats': [34, 34, 9.999999999999999e-05, 0.00015099999999999998], 'children': {"~:0(<method 'rstrip' of 'str' objects>)": [34, 34, 1.8e-05, 1.8e-05], "~:0(<method 'rfind' of 'str' objects>)": [34, 34, 2.3e-05, 2.3e-05], '~:0(<len>)': [34, 34, 9.999999999999999e-06, 9.999999999999999e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/deviceufunc.py:422(DeviceGUFuncVectorize)': {'display_name': 'deviceufunc.py:422(DeviceGUFuncVectorize)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/deviceufunc.py:3(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, "~:0(<method 'splitlines' of 'str' objects>)": {'display_name': "~:0(<method 'splitlines' of 'str' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/atomicops.py:202(_extract_functions)': [1, 1, 8e-06, 8e-06]}, 'stats': [1, 1, 8e-06, 8e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:89(__get__)': {'display_name': 'six.py:89(__get__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/reduction.py:1(<module>)': [1, 1, 3e-06, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/slicing.py:3(<module>)': [1, 1, 3e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [1, 1, 4e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:3(<module>)': [1, 1, 4.9999999999999996e-06, 1.3e-05]}, 'stats': [4, 4, 1.4999999999999999e-05, 4.4999999999999996e-05], 'children': {'~:0(<setattr>)': [4, 4, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:112(_resolve)': [2, 2, 4.9999999999999996e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:157(_resolve)': [2, 2, 7e-06, 1.3e-05], '~:0(<delattr>)': [4, 4, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:957(Event)': {'display_name': 'driver.py:957(Event)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:12(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:207(ListEq)': {'display_name': 'listdecl.py:207(ListEq)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/listdecl.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:5(<module>)': {'display_name': 'opcode.py:5(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/dis.py:1(<module>)': [1, 1, 0.000101, 0.000159]}, 'stats': [1, 1, 0.000101, 0.000159], 'children': {"~:0(<method 'append' of 'list' objects>)": [8, 8, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:39(jabs_op)': [6, 6, 2e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:35(jrel_op)': [6, 6, 1e-06, 4.9999999999999996e-06], '~:0(<range>)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:31(name_op)': [11, 11, 2e-06, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/opcode.py:27(def_op)': [96, 96, 3.2e-05, 3.2e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/stubs.py:45(blockIdx)': {'display_name': 'stubs.py:45(blockIdx)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/stubs.py:3(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/macro.py:165(__init__)': [3, 3, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:590(get)': {'display_name': 'ConfigParser.py:590(get)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:183(_install_loggers)': [21, 21, 6.8e-05, 0.000145], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:111(_create_formatters)': [5, 5, 1.8e-05, 3.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:140(_install_handlers)': [13, 13, 5.9e-05, 0.000111], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:355(_get)': [5, 5, 1.8e-05, 3.7e-05]}, 'stats': [44, 44, 0.000163, 0.00033], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:373(optionxform)': [44, 44, 2.2e-05, 3.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:570(__getitem__)': [44, 44, 9.3e-05, 9.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:657(_interpolate)': [40, 40, 1.9999999999999998e-05, 1.9999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:567(__init__)': [44, 44, 1.4999999999999999e-05, 1.4999999999999999e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:101(MovedModule)': {'display_name': 'six.py:101(MovedModule)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:437(GetItemCPointer)': {'display_name': 'builtins.py:437(GetItemCPointer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:145(create_target_machine)': {'display_name': 'targets.py:145(create_target_machine)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:381(_make_cas_function)': [1, 1, 0.00020999999999999998, 0.000214], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:399(_init)': [1, 1, 0.006442, 0.006447]}, 'stats': [2, 2, 0.006652, 0.006660999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:104(__init__)': [2, 2, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/common.py:7(_encode_string)': [10, 10, 2e-06, 4.9999999999999996e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/annotations/__init__.py:1(<module>)': {'display_name': '__init__.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/compiler.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:660(StructRef)': {'display_name': 'c_ast.py:660(StructRef)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:7(CFLError)': {'display_name': 'solver.py:7(CFLError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/arrayprint.py:634(IntegerFormat)': {'display_name': 'arrayprint.py:634(IntegerFormat)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/arrayprint.py:5(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '<string>:1(_ArrayHelper)': {'display_name': '<string>:1(_ArrayHelper)', 'callers': {'<string>:1(<module>)': [1, 1, 1.1e-05, 1.1e-05]}, 'stats': [1, 1, 1.1e-05, 1.1e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/decoder.py:2(<module>)': {'display_name': 'decoder.py:2(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/json/__init__.py:99(<module>)': [1, 1, 0.000185, 0.001488]}, 'stats': [1, 1, 0.000185, 0.001488], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [5, 5, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/scanner.py:2(<module>)': [1, 1, 0.000339, 0.000963], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [5, 5, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [2, 2, 3e-06, 0.00033], '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/decoder.py:17(_floatconstants)': [1, 1, 4e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/json/decoder.py:272(JSONDecoder)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy_reg.py:92(__newobj__)': {'display_name': 'copy_reg.py:92(__newobj__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/copy.py:306(_reconstruct)': [88, 88, 4.8e-05, 8.3e-05]}, 'stats': [88, 88, 4.8e-05, 8.3e-05], 'children': {'~:0(<built-in method __new__ of type object at 0x100186920>)': [88, 88, 3.5e-05, 3.5e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1104(Logger)': {'display_name': '__init__.py:1104(Logger)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:24(<module>)': [1, 1, 6e-06, 6e-06]}, 'stats': [1, 1, 6e-06, 6e-06], 'children': {}}, "~:0(<method 'isupper' of 'str' objects>)": {'display_name': "~:0(<method 'isupper' of 'str' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/config.py:72(process_environ)': [27, 27, 7e-06, 7e-06]}, 'stats': [27, 27, 7e-06, 7e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:880(EnumerateType)': {'display_name': 'models.py:880(EnumerateType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/datamodel/models.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:261(InlineAsm)': {'display_name': 'core.py:261(InlineAsm)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/core.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/tokenize.py:179(Untokenizer)': {'display_name': 'tokenize.py:179(Untokenizer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/tokenize.py:23(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, "~:0(<method 'astype' of 'numpy.ndarray' objects>)": {'display_name': "~:0(<method 'astype' of 'numpy.ndarray' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/function_base.py:9(linspace)': [2, 2, 3e-06, 3e-06]}, 'stats': [2, 2, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/runner.py:28(TextTestResult)': {'display_name': 'runner.py:28(TextTestResult)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/unittest/runner.py:1(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:38(__setstate__)': {'display_name': 'templates.py:38(__setstate__)', 'callers': {'~:0(<cPickle.loads>)': [6, 6, 7e-06, 7e-06]}, 'stats': [6, 6, 7e-06, 7e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/format.py:149(<module>)': {'display_name': 'format.py:149(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/npyio.py:1(<module>)': [1, 1, 2.1e-05, 2.1e-05]}, 'stats': [1, 1, 2.1e-05, 2.1e-05], 'children': {'~:0(<len>)': [1, 1, 0.0, 0.0]}}, '~:0(<hasattr>)': {'display_name': '~:0(<hasattr>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:150(__new__)': [54, 54, 5.1e-05, 5.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:24(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:140(__setattr__)': [28934, 28934, 0.005445, 0.005445], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/misc_util.py:313(terminal_has_colors)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_abcoll.py:548(update)': [32, 32, 3.6e-05, 3.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/__init__.py:49(normalize_encoding)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:60(fileConfig)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy_reg.py:27(constructor)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/cffi/api.py:48(__init__)': [2, 2, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/atexit.py:6(<module>)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/lex.py:1048(set_doc)': [20, 20, 1.3e-05, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1225(findCaller)': [11, 11, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:62(_is_descriptor)': [165, 165, 0.000102, 0.000102], '/Users/aron/anaconda3/envs/python2/lib/python2.7/tempfile.py:18(<module>)': [5, 5, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:25(<module>)': [3, 3, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy_reg.py:14(pickle)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:237(__init__)': [11, 11, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/platform.py:10(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/util.py:16(get_platform)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/nosetester.py:179(__init__)': [21, 21, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:120(<module>)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/subprocess.py:387(<module>)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/__init__.py:2(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy_reg.py:95(_slotnames)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch.py:195(register)': [15, 15, 1.6e-05, 1.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/copy.py:306(_reconstruct)': [88, 88, 0.000118, 0.000118], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:830(flush)': [22, 22, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:145(__enter__)': [3, 3, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pycc/llvm_types.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [29402, 29402, 0.005821, 0.005821], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:304(OrderedCmpOp)': {'display_name': 'builtins.py:304(OrderedCmpOp)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 8e-06, 3.7e-05]}, 'stats': [1, 1, 8e-06, 3.7e-05], 'children': {'~:0(<sorted>)': [3, 3, 8e-06, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:107(signature)': [11, 11, 1.1e-05, 1.6e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:611(__init__)': {'display_name': 'geometry.py:611(__init__)', 'callers': {'shocksine.py:40(setup)': [1, 1, 7e-06, 1.4999999999999999e-05]}, 'stats': [1, 1, 7e-06, 1.4999999999999999e-05], 'children': {'~:0(<isinstance>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:637(_check_validity)': [1, 1, 4e-06, 7e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:491(AOTCPUCodegen)': {'display_name': 'codegen.py:491(AOTCPUCodegen)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:621(UnixStreamServer)': {'display_name': 'SocketServer.py:621(UnixStreamServer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:120(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '~:0(<posix.stat>)': {'display_name': '~:0(<posix.stat>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/genericpath.py:23(exists)': [10, 10, 9.4e-05, 9.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/genericpath.py:34(isfile)': [3, 3, 1.7e-05, 1.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:484(get_source_stamp)': [8, 8, 5.2e-05, 5.2e-05]}, 'stats': [21, 21, 0.000163, 0.000163], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/lowering.py:14(Environment)': {'display_name': 'lowering.py:14(Environment)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/lowering.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:483(_bc_upper)': {'display_name': 'solver.py:483(_bc_upper)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:357(_apply_bcs)': [4805, 4805, 0.019854999999999998, 0.019854999999999998]}, 'stats': [4805, 4805, 0.019854999999999998, 0.019854999999999998], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1441(ExceptionClass)': {'display_name': 'types.py:1441(ExceptionClass)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/boxing.py:4(<module>)': {'display_name': 'boxing.py:4(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/base.py:1(<module>)': [1, 1, 5.4e-05, 8.3e-05]}, 'stats': [1, 1, 5.4e-05, 8.3e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pythonapi.py:23(register)': [2, 2, 4e-06, 2.4999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/pythonapi.py:25(decorator)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/jitclass/boxing.py:112(Box)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:415(log_info)': {'display_name': 'controller.py:415(log_info)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:276(run)': [11, 11, 1.8999999999999998e-05, 0.002362]}, 'stats': [11, 11, 1.8999999999999998e-05, 0.002362], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1149(info)': [11, 11, 4.6e-05, 0.002343]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:134(choose_result_bitwidth)': {'display_name': 'builtins.py:134(choose_result_bitwidth)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:137(choose_result_int)': [20, 20, 4.2999999999999995e-05, 5.8e-05]}, 'stats': [20, 20, 4.2999999999999995e-05, 5.8e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:135(<genexpr>)': [44, 44, 7e-06, 7e-06], '~:0(<max>)': [20, 20, 8e-06, 8e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:5(<module>)': {'display_name': 'solution.py:5(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/__init__.py:1(<module>)': [1, 1, 0.000972, 0.001095]}, 'stats': [1, 1, 0.000972, 0.001095], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:3(<module>)': [1, 1, 8.4e-05, 0.00011399999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:15(Solution)': [1, 1, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:415(_is_inherited_from_object)': {'display_name': 'utils.py:415(_is_inherited_from_object)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:430(total_ordering)': [24, 24, 3.7999999999999995e-05, 0.000314]}, 'stats': [24, 24, 3.7999999999999995e-05, 0.000314], 'children': {'~:0(<dir>)': [24, 24, 0.000276, 0.000276]}}, '~:0(<numpy.core.multiarray.zeros>)': {'display_name': '~:0(<numpy.core.multiarray.zeros>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:1842(indices)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:283(step_hyperbolic)': [4804, 4804, 0.017712, 0.017712], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:324(_allocate_bc_arrays)': [1, 1, 7e-06, 7e-06]}, 'stats': [4806, 4806, 0.017721, 0.017721], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/tempfile.py:18(<module>)': {'display_name': 'tempfile.py:18(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/utils.py:4(<module>)': [1, 1, 0.000935, 0.011680999999999999]}, 'stats': [1, 1, 0.000935, 0.011680999999999999], 'children': {'~:0(<hasattr>)': [5, 5, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/io.py:34(<module>)': [1, 1, 0.0022129999999999997, 0.0027489999999999997], '/Users/aron/anaconda3/envs/python2/lib/python2.7/tempfile.py:383(_TemporaryFileWrapper)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/tempfile.py:108(_RandomNameSequence)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/random.py:40(<module>)': [1, 1, 0.001318, 0.007970999999999999], '/Users/aron/anaconda3/envs/python2/lib/python2.7/tempfile.py:518(SpooledTemporaryFile)': [1, 1, 1.1e-05, 1.1e-05], '~:0(<thread.allocate_lock>)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:25(<module>)': {'display_name': 'inspect.py:25(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:1(<module>)': [1, 1, 0.000468, 0.013713999999999999]}, 'stats': [1, 1, 0.000468, 0.013713999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/dis.py:1(<module>)': [1, 1, 0.000206, 0.000365], '~:0(<hasattr>)': [3, 3, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:632(BlockFinder)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/tokenize.py:23(<module>)': [1, 1, 0.00032399999999999996, 0.010355], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/inspect.py:630(EndOfBlock)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:293(namedtuple)': [6, 6, 0.002021, 0.0025239999999999998]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:140(UnaryPositiveArray)': {'display_name': 'npydecl.py:140(UnaryPositiveArray)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:46(_DeadPointer)': {'display_name': 'ffi.py:46(_DeadPointer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/ply/lex.py:1047(TOKEN)': {'display_name': 'lex.py:1047(TOKEN)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_lexer.py:16(CLexer)': [20, 20, 4e-06, 4e-06]}, 'stats': [20, 20, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:82(__str__)': {'display_name': 'ffi.py:82(__str__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:13(get_default_triple)': [11, 11, 1.8999999999999998e-05, 2.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:46(__str__)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:58(__str__)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/module.py:124(triple)': [7, 7, 1.3e-05, 1.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/targets.py:21(get_host_cpu_name)': [8, 8, 2.4e-05, 2.4e-05]}, 'stats': [28, 28, 6.1e-05, 6.5e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/common.py:13(_decode_string)': [28, 28, 4e-06, 4e-06]}}, '~:0(<posix.sysconf>)': {'display_name': '~:0(<posix.sysconf>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/subprocess.py:387(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:163(Support)': {'display_name': 'singledispatch_helpers.py:163(Support)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/singledispatch_helpers.py:4(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/funcdesc.py:3(<module>)': {'display_name': 'funcdesc.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/lowering.py:1(<module>)': [1, 1, 0.000148, 0.000159]}, 'stats': [1, 1, 0.000148, 0.000159], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/funcdesc.py:183(ExternalFunctionDescriptor)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/funcdesc.py:153(PythonFunctionDescriptor)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/funcdesc.py:38(FunctionDescriptor)': [1, 1, 9e-06, 9e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/platform.py:367(_popen)': {'display_name': 'platform.py:367(_popen)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/platform.py:10(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:1(<module>)': {'display_name': 'randomdecl.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/context.py:1(<module>)': [1, 1, 0.00039799999999999997, 0.002049]}, 'stats': [1, 1, 0.00039799999999999997, 0.002049], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:133(Random_nullary_distribution)': [1, 1, 2e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:105(Random_binary_distribution)': [1, 1, 2e-06, 4e-06], '~:0(<sorted>)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:151(Random_shuffle)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:38(Random_randint)': [1, 1, 2e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:66(Numpy_negative_binomial)': [1, 1, 1e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:72(Numpy_poisson)': [1, 1, 4e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:77(Numpy_exponential)': [1, 1, 2e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:99(__hash__)': [2, 2, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:60(Numpy_geometric)': [1, 1, 2e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:47(Random_randrange)': [1, 1, 4.9999999999999996e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:83(Numpy_hypergeometric)': [1, 1, 2e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:144(Random_triangular)': [1, 1, 3e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:535(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:33(Random_random)': [1, 1, 0.0, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:42(Random_randint)': [1, 1, 4.9999999999999996e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:96(Numpy_gamma)': [1, 1, 2e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:87(Numpy_normal)': [1, 1, 4e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:29(Random_getrandbits)': [1, 1, 1e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:101(Random_ternary_distribution)': [1, 1, 2e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:122(Random_unary_distribution)': [1, 1, 2e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:53(Random_seed)': [1, 1, 2e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:589(decorator)': [53, 53, 2.7e-05, 0.001424], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:550(register_global)': [53, 53, 0.000106, 0.000116]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/context.py:7(__init__)': {'display_name': 'context.py:7(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/context.py:1(<module>)': [1, 1, 2e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 2e-06, 4.9999999999999996e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/ir/_utils.py:9(__init__)': [1, 1, 3e-06, 3e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:276(Continue)': {'display_name': 'c_ast.py:276(Continue)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:249(BitwiseAnd)': {'display_name': 'builtins.py:249(BitwiseAnd)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:245(BitwiseLogicOperation)': {'display_name': 'builtins.py:245(BitwiseLogicOperation)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:818(__init__)': {'display_name': 'core.py:818(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:21(<module>)': [3, 3, 0.0, 0.0]}, 'stats': [3, 3, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:284(DelItem)': {'display_name': 'ir.py:284(DelItem)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:738(Enumerate)': {'display_name': 'builtins.py:738(Enumerate)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/cfl.py:25(set_global_max)': {'display_name': 'cfl.py:25(set_global_max)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:100(step)': [4804, 4804, 0.002179, 0.002179]}, 'stats': [4804, 4804, 0.002179, 0.002179], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1484(__init__)': {'display_name': 'types.py:1484(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [2, 2, 3e-06, 3e-06]}, 'stats': [2, 2, 3e-06, 3e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:85(__init__)': [2, 2, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/looplifting.py:56(SubOffset)': {'display_name': 'looplifting.py:56(SubOffset)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/looplifting.py:1(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:589(decorator)': {'display_name': 'templates.py:589(decorator)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/mathdecl.py:1(<module>)': [36, 36, 1.8e-05, 0.001055], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': [1, 1, 0.0, 3.2999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npydecl.py:1(<module>)': [23, 23, 1.6e-05, 0.000683], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/collections.py:1(<module>)': [1, 1, 2e-06, 3.9999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/cmathdecl.py:1(<module>)': [21, 21, 9e-06, 0.000606], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/randomdecl.py:1(<module>)': [53, 53, 2.7e-05, 0.001424], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [18, 18, 1.1e-05, 0.000567]}, 'stats': [153, 153, 8.3e-05, 0.004408], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:567(decorate)': [153, 153, 0.002484, 0.004325]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:285(_compute_c_centers)': {'display_name': 'geometry.py:285(_compute_c_centers)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:311(_compute_p_centers)': [1, 1, 9.3e-05, 0.012105999999999999]}, 'stats': [1, 1, 9.3e-05, 0.012105999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/core/numeric.py:1842(indices)': [1, 1, 0.00046199999999999995, 0.000993], "~:0(<method 'append' of 'list' objects>)": [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:130(__getattr__)': [1, 1, 1e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:258(get_dim_attribute)': [1, 1, 4e-06, 0.011016]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/__init__.py:7(<module>)': {'display_name': '__init__.py:7(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/stubs.py:3(<module>)': [1, 1, 4.6e-05, 4.7e-05]}, 'stats': [1, 1, 4.6e-05, 4.7e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/state.py:218(set_num_ghost)': {'display_name': 'state.py:218(set_num_ghost)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:199(setup)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:677(StreamRequestHandler)': {'display_name': 'SocketServer.py:677(StreamRequestHandler)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:120(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:204(__setitem__)': {'display_name': 'utils.py:204(__setitem__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:139(_build_reverse_error_map)': [46, 46, 3.7999999999999995e-05, 3.7999999999999995e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/registry.py:1(<module>)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/context.py:290(_insert_global)': [8, 8, 1.6e-05, 1.6e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/initialize.py:8(initialize_all)': [2, 2, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/ufuncbuilder.py:2(<module>)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/__init__.py:11(_init)': [2, 2, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:329(_build_reverse_device_attrs)': [15, 15, 2.3e-05, 2.3e-05]}, 'stats': [75, 75, 9.3e-05, 9.3e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/decorators.py:1(<module>)': {'display_name': 'decorators.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/__init__.py:2(<module>)': [1, 1, 0.0036469999999999996, 0.018046]}, 'stats': [1, 1, 0.0036469999999999996, 0.018046], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [4, 4, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/decorators.py:37(GUVectorize)': [1, 1, 1e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/dufunc.py:1(<module>)': [1, 1, 0.0006789999999999999, 0.002238], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/decorators.py:12(_BaseVectorize)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [4, 4, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/vectorizers.py:1(<module>)': [1, 1, 0.0018449999999999999, 0.002239], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/decorators.py:27(Vectorize)': [1, 1, 8e-06, 1.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:11(<module>)': [1, 1, 0.000126, 0.009892999999999999]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:540(Scope)': {'display_name': 'ir.py:540(Scope)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/ir.py:1(<module>)': [1, 1, 4e-06, 4e-06]}, 'stats': [1, 1, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:412(ExprList)': {'display_name': 'c_ast.py:412(ExprList)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/pycparser/c_ast.py:19(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/compiler.py:263(Pipeline)': {'display_name': 'compiler.py:263(Pipeline)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/compiler.py:1(<module>)': [1, 1, 9.999999999999999e-06, 3.4e-05]}, 'stats': [1, 1, 9.999999999999999e-06, 3.4e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:54(contextmanager)': [2, 2, 4e-06, 2.4e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ctypeslib.py:150(_ndptr)': {'display_name': 'ctypeslib.py:150(_ndptr)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ctypeslib.py:51(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:682(EnumerateType)': {'display_name': 'types.py:682(EnumerateType)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:5(NumbaError)': {'display_name': 'errors.py:5(NumbaError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:2(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:1(<module>)': {'display_name': 'codegen.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:1(<module>)': [1, 1, 0.001326, 0.005601999999999999]}, 'stats': [1, 1, 0.001326, 0.005601999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:373(JITCodeLibrary)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/runtime/__init__.py:1(<module>)': [1, 1, 0.00061, 0.004254], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:491(AOTCPUCodegen)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:507(JITCPUCodegen)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:389(BaseCPUCodegen)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:348(AOTCodeLibrary)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/llvmpy/passes.py:11(<module>)': [1, 1, 9.999999999999999e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [2, 2, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:29(CodeLibrary)': [1, 1, 6e-06, 6e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:25(<module>)': {'display_name': 'config.py:25(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/__init__.py:1(<module>)': [1, 1, 0.00155, 0.006508]}, 'stats': [1, 1, 0.00155, 0.006508], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:489(DictConfigurator)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [7, 7, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:318(ConvertingDict)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:343(ConvertingTuple)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [7, 7, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:24(<module>)': [1, 1, 0.000652, 0.003512], '/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:192(compile)': [1, 1, 3e-06, 0.00031], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:350(BaseConfigurator)': [1, 1, 6e-06, 0.001004], '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:120(<module>)': [1, 1, 5.4999999999999995e-05, 0.000126], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:285(ConvertingMixin)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/config.py:333(ConvertingList)': [1, 1, 0.0, 0.0]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:470(__init__)': {'display_name': 'index_tricks.py:470(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:1(<module>)': [1, 1, 3e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 3e-06, 4.9999999999999996e-06], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/lib/index_tricks.py:242(__init__)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:436(Module_six_moves_urllib_robotparser)': {'display_name': 'six.py:436(Module_six_moves_urllib_robotparser)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:674(FrameCounter)': {'display_name': 'util.py:674(FrameCounter)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:5(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/cmd.py:14(Command)': {'display_name': 'cmd.py:14(Command)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/cmd.py:5(<module>)': [1, 1, 8e-06, 8e-06]}, 'stats': [1, 1, 8e-06, 8e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:509(Indexer)': {'display_name': 'arrayobj.py:509(Indexer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/arrayobj.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/passmanagers.py:29(run)': {'display_name': 'passmanagers.py:29(run)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:83(_optimize_final_module)': [1, 1, 0.006977, 0.006977]}, 'stats': [1, 1, 0.006977, 0.006977], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/utils.py:1771(IgnoreException)': {'display_name': 'utils.py:1771(IgnoreException)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/testing/utils.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/hermite_e.py:1789(HermiteE)': {'display_name': 'hermite_e.py:1789(HermiteE)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/polynomial/hermite_e.py:59(<module>)': [1, 1, 4e-06, 6e-06]}, 'stats': [1, 1, 4e-06, 6e-06], 'children': {'~:0(<numpy.core.multiarray.array>)': [2, 2, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/subprocess.py:647(Popen)': {'display_name': 'subprocess.py:647(Popen)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/subprocess.py:387(<module>)': [1, 1, 6e-06, 6e-06]}, 'stats': [1, 1, 6e-06, 6e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cffiimpl.py:3(<module>)': {'display_name': 'cffiimpl.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/cpu.py:1(<module>)': [1, 1, 1.8999999999999998e-05, 2.3e-05]}, 'stats': [1, 1, 1.8999999999999998e-05, 2.3e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:18(__init__)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:33(decorate)': [1, 1, 1e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/imputils.py:24(lower)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:139(__init__)': {'display_name': 'six.py:139(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [81, 81, 7.7e-05, 0.00010499999999999999]}, 'stats': [81, 81, 7.7e-05, 0.00010499999999999999], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:86(__init__)': [81, 81, 2.8e-05, 2.8e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/__init__.py:71(search_function)': {'display_name': '__init__.py:71(search_function)', 'callers': {"~:0(<method 'encode' of 'str' objects>)": [2, 2, 2.8e-05, 0.000341]}, 'stats': [2, 2, 2.8e-05, 0.000341], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/ascii.py:41(getregentry)': [1, 1, 4.9999999999999996e-06, 9.999999999999999e-06], "~:0(<method 'get' of 'dict' objects>)": [5, 5, 4e-06, 4e-06], '~:0(<isinstance>)': [2, 2, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/utf_8.py:33(getregentry)': [1, 1, 6e-06, 1.1e-05], "~:0(<method 'replace' of 'str' objects>)": [1, 1, 1e-06, 1e-06], '~:0(<__import__>)': [2, 2, 0.000192, 0.000267], '/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/__init__.py:49(normalize_encoding)': [2, 2, 1.3e-05, 1.9999999999999998e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/servicelib/service.py:62(HelloService)': {'display_name': 'service.py:62(HelloService)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/servicelib/service.py:4(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/wrappers.py:1(<module>)': {'display_name': 'wrappers.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/ufuncbuilder.py:2(<module>)': [1, 1, 0.000157, 0.000166]}, 'stats': [1, 1, 0.000157, 0.000166], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/wrappers.py:385(_GufuncObjectWrapper)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/wrappers.py:582(_ArrayArgLoader)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/wrappers.py:625(_ArrayAsScalarArgLoader)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/wrappers.py:501(GUArrayArg)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/wrappers.py:228(UArrayArg)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/wrappers.py:268(_GufuncWrapper)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/wrappers.py:566(_ScalarArgLoader)': [1, 1, 1e-06, 1e-06]}}, '~:0(<posix.urandom>)': {'display_name': '~:0(<posix.urandom>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/randomimpl.py:33(random_init)': [1, 1, 0.000163, 0.000163], '/Users/aron/anaconda3/envs/python2/lib/python2.7/random.py:100(seed)': [1, 1, 0.000243, 0.000243], '/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/process.py:301(__init__)': [1, 1, 1.2e-05, 1.2e-05]}, 'stats': [3, 3, 0.00041799999999999997, 0.00041799999999999997], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/cudadrv/driver.py:9(FakeDriver)': {'display_name': 'driver.py:9(FakeDriver)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/simulator/cudadrv/driver.py:4(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:268(RefleakTestResult)': {'display_name': 'testing.py:268(RefleakTestResult)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:5833(mvoid)': {'display_name': 'core.py:5833(mvoid)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/core.py:21(<module>)': [1, 1, 4e-06, 4e-06]}, 'stats': [1, 1, 4e-06, 4e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:192(InterpolationDepthError)': {'display_name': 'ConfigParser.py:192(InterpolationDepthError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:88(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/bytecode.py:279(ByteCodeOperation)': {'display_name': 'bytecode.py:279(ByteCodeOperation)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/bytecode.py:4(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/decorators.py:27(Vectorize)': {'display_name': 'decorators.py:27(Vectorize)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/decorators.py:1(<module>)': [1, 1, 8e-06, 1.7e-05]}, 'stats': [1, 1, 8e-06, 1.7e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/registry.py:33(__init__)': [1, 1, 9e-06, 9e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:148(__subclasscheck__)': {'display_name': 'abc.py:148(__subclasscheck__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:128(__instancecheck__)': [7, 7, 6.1e-05, 0.00043299999999999995], '~:0(<issubclass>)': [111, 102, 0.000581, 0.001261], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:38(__init__)': [80, 80, 9.6e-05, 0.000212], '/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:274(options)': [12, 12, 6e-06, 1.4e-05]}, 'stats': [184, 210, 0.000744, 0.001658], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:70(__contains__)': [308, 308, 0.00026, 0.00026], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:36(__init__)': [18, 18, 2.9e-05, 2.9e-05], '~:0(<getattr>)': [116, 116, 3.2999999999999996e-05, 3.2999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:83(add)': [116, 116, 0.000153, 0.000177], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_abcoll.py:98(__subclasshook__)': [12, 12, 4.9999999999999996e-06, 4.9999999999999996e-06], "~:0(<method '__subclasshook__' of 'object' objects>)": [104, 104, 1.7e-05, 1.7e-05], '~:0(<issubclass>)': [54, 36, 4.1e-05, 0.000368], '/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:58(__iter__)': [76, 76, 0.00015099999999999998, 0.000334], "~:0(<method '__subclasses__' of 'type' objects>)": [47, 47, 1.8e-05, 1.8e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:429(_OverloadMethodTemplate)': {'display_name': 'templates.py:429(_OverloadMethodTemplate)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:3(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/funcsigs/__init__.py:585(parameters)': {'display_name': '__init__.py:585(parameters)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:35(__init__)': [16, 16, 7.2e-05, 0.0005], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:262(__init__)': [8, 8, 4.2999999999999995e-05, 0.00034199999999999996]}, 'stats': [24, 24, 0.00011499999999999999, 0.000842], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:38(__init__)': [24, 24, 0.000118, 0.000605], '/Users/aron/anaconda3/envs/python2/lib/python2.7/collections.py:113(items)': [24, 24, 7.9e-05, 0.000122]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:193(get_clawpack_dot_xxx)': {'display_name': 'solver.py:193(get_clawpack_dot_xxx)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:160(__init__)': [1, 1, 2e-06, 4e-06]}, 'stats': [1, 1, 2e-06, 4e-06], 'children': {"~:0(<method 'rpartition' of 'str' objects>)": [2, 2, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:430(total_ordering)': {'display_name': 'utils.py:430(total_ordering)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': [5, 5, 6.5e-05, 0.000354], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/looplifting.py:1(<module>)': [1, 1, 1.2e-05, 5.8e-05]}, 'stats': [6, 6, 7.7e-05, 0.000412], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:415(_is_inherited_from_object)': [24, 24, 3.7999999999999995e-05, 0.000314], '~:0(<getattr>)': [18, 18, 4.9999999999999996e-06, 4.9999999999999996e-06], '~:0(<setattr>)': [18, 18, 1.2e-05, 1.2e-05], '~:0(<max>)': [6, 6, 4e-06, 4e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:1413(mr_class)': {'display_name': 'extras.py:1413(mr_class)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/ma/extras.py:10(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:218(seek)': {'display_name': 'sre_parse.py:218(seek)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/sre_parse.py:395(_parse)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:194(__init__)': {'display_name': 'geometry.py:194(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:696(__init__)': [89, 89, 0.00035299999999999996, 0.0025759999999999997]}, 'stats': [89, 89, 0.00035299999999999996, 0.0025759999999999997], 'children': {'~:0(<isinstance>)': [89, 89, 2.7e-05, 2.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/geometry.py:237(add_dimension)': [89, 89, 0.00035099999999999997, 0.002196]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:52(BaseRotatingHandler)': {'display_name': 'handlers.py:52(BaseRotatingHandler)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/handlers.py:24(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/value.py:71(StorageClass)': {'display_name': 'value.py:71(StorageClass)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/value.py:2(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:171(_closedsocket)': {'display_name': 'socket.py:171(_closedsocket)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/socket.py:45(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/registry.py:41(register)': {'display_name': 'registry.py:41(register)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/static_getitem.py:1(<module>)': [2, 2, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/static_raise.py:1(<module>)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/array_exprs.py:1(<module>)': [1, 1, 3e-06, 3e-06]}, 'stats': [4, 4, 7e-06, 7e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:422(_MinimalRunner)': {'display_name': 'testing.py:422(_MinimalRunner)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/testing.py:1(<module>)': [1, 1, 4e-06, 1.8999999999999998e-05]}, 'stats': [1, 1, 4e-06, 1.8999999999999998e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/contextlib.py:54(contextmanager)': [1, 1, 4e-06, 1.4999999999999999e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:3(<module>)': {'display_name': 'npdatetime.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/context.py:1(<module>)': [1, 1, 0.000448, 0.000526]}, 'stats': [1, 1, 0.000448, 0.000526], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:158(TimedeltaCmpLE)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:45(TimedeltaCmpOp)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:142(TimedeltaLegacyDiv)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:200(DatetimeMinusTimedelta)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:30(TimedeltaBinOp)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:171(TimedeltaAbs)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:55(TimedeltaOrderedCmpOp)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:239(DatetimeCmpEq)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:150(TimedeltaCmpNe)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:550(register_global)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:122(TimedeltaBinAdd)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:255(DatetimeCmpGt)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:540(register)': [23, 23, 1.8999999999999998e-05, 2.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:166(TimedeltaCmpGE)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:114(TimedeltaUnaryPos)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:259(DatetimeCmpGE)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:178(DatetimePlusTimedelta)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:126(TimedeltaBinSub)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:247(DatetimeCmpLt)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:138(TimedeltaFloorDiv)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:118(TimedeltaUnaryNeg)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:130(TimedeltaBinMult)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:18(TimedeltaUnaryOp)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:214(DatetimeMinusDatetime)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:134(TimedeltaTrueDiv)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:92(TimedeltaDivOp)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:146(TimedeltaCmpEq)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:67(TimedeltaMixOp)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:251(DatetimeCmpLE)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:162(TimedeltaCmpGt)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:243(DatetimeCmpNe)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/templates.py:589(decorator)': [1, 1, 0.0, 3.2999999999999996e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:229(DatetimeCmpOp)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/npdatetime.py:154(TimedeltaCmpLt)': [1, 1, 0.0, 0.0]}}, "~:0(<method 'isatty' of 'file' objects>)": {'display_name': "~:0(<method 'isatty' of 'file' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/distutils/misc_util.py:313(terminal_has_colors)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06]}, 'stats': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:3(<module>)': {'display_name': 'dispatcher.py:3(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/registry.py:1(<module>)': [1, 1, 0.003322, 0.019703]}, 'stats': [1, 1, 0.003322, 0.019703], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/six.py:180(find_module)': [3, 3, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:501(_IPythonCacheLocator)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:547(FunctionCache)': [1, 1, 7e-06, 1.8999999999999998e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:89(__get__)': [1, 1, 4.9999999999999996e-06, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/compiler.py:1(<module>)': [1, 1, 0.007745999999999999, 0.016236999999999998], '~:0(<numba._dispatcher.typeof_init>)': [1, 1, 1.4e-05, 1.4e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:377(LiftedLoop)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/serialize.py:3(<module>)': [1, 1, 4.9e-05, 4.9e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:253(Dispatcher)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:28(_DispatcherBase)': [1, 1, 9e-06, 9e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [3, 3, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:453(_CacheLocator)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:469(_SourceCacheLocator)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:432(<genexpr>)': [13, 13, 1.7e-05, 2.2e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/dispatcher.py:435(NullCache)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:143(<genexpr>)': {'display_name': 'builtins.py:143(<genexpr>)', 'callers': {'~:0(<any>)': [31, 31, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:137(choose_result_int)': [11, 11, 2e-06, 2e-06]}, 'stats': [42, 42, 9e-06, 9e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:180(ParallelGUFuncBuilder)': {'display_name': 'parallel.py:180(ParallelGUFuncBuilder)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:11(<module>)': [1, 1, 2e-06, 2e-06]}, 'stats': [1, 1, 2e-06, 2e-06], 'children': {}}, "~:0(<method 'findall' of '_sre.SRE_Pattern' objects>)": {'display_name': "~:0(<method 'findall' of '_sre.SRE_Pattern' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/re.py:173(findall)': [1, 1, 3e-06, 3e-06]}, 'stats': [1, 1, 3e-06, 3e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:470(TupleBool)': {'display_name': 'builtins.py:470(TupleBool)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/builtins.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:477(CmpOpEqArray)': {'display_name': 'arraydecl.py:477(CmpOpEqArray)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/arraydecl.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/context.py:44(BaseContext)': {'display_name': 'context.py:44(BaseContext)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typing/context.py:1(<module>)': [1, 1, 1.4999999999999999e-05, 1.4999999999999999e-05]}, 'stats': [1, 1, 1.4999999999999999e-05, 1.4999999999999999e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:4(<module>)': {'display_name': 'types.py:4(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/sigutils.py:1(<module>)': [1, 1, 0.003985, 0.009934]}, 'stats': [1, 1, 0.003985, 0.009934], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:459(ExternalFunctionPointer)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:69(Complex)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:162(Const)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:581(RangeType)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1435(EllipsisType)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:797(Buffer)': [1, 1, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:781(ArrayIterator)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1689(<lambda>)': [6, 6, 9.999999999999999e-06, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1084(_HomogenousTuple)': [1, 1, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:870(ByteArray)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:214(Function)': [1, 1, 3e-06, 3e-06], '~:0(<_struct.calcsize>)': [2, 2, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:51(Float)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:124(Phantom)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1441(ExceptionClass)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:86(__new__)': [78, 78, 0.000676, 0.002924], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:877(PyArray)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:131(Undefined)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1482(SliceType)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1599(ClassDataType)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1421(NoneType)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1195(BaseNamedTuple)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:648(NumpyNdEnumerateType)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:516(NumbaFunction)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:666(NumpyNdIndexType)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1539(ClassType)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:682(EnumerateType)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1495(ClassInstanceType)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1340(EphemeralPointer)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:631(NumpyFlatType)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:970(ArrayFlags)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1292(ListPayload)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:141(Opaque)': [1, 1, 0.0, 0.0], "~:0(<method 'split' of 'str' objects>)": [1, 1, 7e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:570(SimpleIteratorType)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:339(NumberClass)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:177(VarArg)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1269(ListIter)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:890(Array)': [1, 1, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1164(Tuple)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1688(<lambda>)': [6, 6, 2.1e-05, 2.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1378(Optional)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:54(__call__)': [30, 30, 5.6999999999999996e-05, 0.000294], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1230(List)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:88(_NPDatetimeBase)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:156(RawPointer)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1348(EphemeralArray)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:1(<module>)': [1, 1, 0.000108, 0.000562], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:498(ExternalFunction)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:147(PyObject)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:18(Boolean)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1199(NamedUniTuple)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1365(Object)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1061(BaseAnonymousTuple)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:193(Module)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:729(UnicodeCharSeq)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:954(ArrayCTypes)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/typeconv/__init__.py:1(<module>)': [1, 1, 0.000669, 0.00112], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:596(RangeIteratorType)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:365(DType)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:385(WeakType)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:413(Dispatcher)': [1, 1, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1135(UniTupleIter)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:716(CharSeq)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:180(find_module)': [3, 3, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1307(MemInfoPointer)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:536(Pair)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1572(DeferredType)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:699(ZipType)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:317(NamedTupleClass)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:115(NPTimedelta)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1215(NamedTuple)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1465(ExceptionInstance)': [1, 1, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:430(total_ordering)': [5, 5, 6.5e-05, 0.000354], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:119(NPDatetime)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:610(Generator)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1148(_HeterogenousTuple)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:203(Macro)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:861(Bytes)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:984(NestedArray)': [1, 1, 3e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:270(BoundFunction)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/abstracttypes.py:99(__hash__)': [22, 22, 3e-06, 7e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:24(Integer)': [1, 1, 6e-06, 6e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1324(CPointer)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1110(UniTuple)': [1, 1, 1e-06, 1e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npdatetime.py:5(<module>)': [1, 1, 6.9e-05, 0.000509], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:559(SimpleIterableType)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:742(Record)': [1, 1, 4.9999999999999996e-06, 4.9999999999999996e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:884(MemoryView)': [1, 1, 0.0, 0.0], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/types.py:1028(BaseTuple)': [1, 1, 1e-06, 1e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1049(_Timer)': {'display_name': 'threading.py:1049(_Timer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/static_getitem.py:5(RewriteConstGetitems)': {'display_name': 'static_getitem.py:5(RewriteConstGetitems)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/rewrites/static_getitem.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, "~:0(<method '__subclasses__' of 'type' objects>)": {'display_name': "~:0(<method '__subclasses__' of 'type' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:148(__subclasscheck__)': [47, 47, 1.8e-05, 1.8e-05]}, 'stats': [47, 47, 1.8e-05, 1.8e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/timeit.py:53(<module>)': {'display_name': 'timeit.py:53(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/utils.py:1(<module>)': [1, 1, 1.1e-05, 1.3e-05]}, 'stats': [1, 1, 1.1e-05, 1.3e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/timeit.py:105(Timer)': [1, 1, 2e-06, 2e-06]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/_weakrefset.py:70(__contains__)': {'display_name': '_weakrefset.py:70(__contains__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:128(__instancecheck__)': [188, 188, 0.000108, 0.000108], '/Users/aron/anaconda3/envs/python2/lib/python2.7/abc.py:148(__subclasscheck__)': [308, 308, 0.00026, 0.00026]}, 'stats': [496, 496, 0.000368, 0.000368], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/log.py:14(Log)': {'display_name': 'log.py:14(Log)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/log.py:1(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/UserDict.py:91(get)': {'display_name': 'UserDict.py:91(get)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/npyufunc/parallel.py:11(<module>)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:12(<module>)': [1, 1, 3e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/driver.py:59(find_driver)': [1, 1, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numpy/_import_tools.py:1(<module>)': [1, 1, 2e-06, 3e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/distutils/debug.py:1(<module>)': [1, 1, 3e-06, 4e-06]}, 'stats': [5, 5, 1.2e-05, 1.4999999999999999e-05], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/UserDict.py:103(__contains__)': [5, 5, 3e-06, 3e-06]}}, "~:0(<method 'rfind' of 'str' objects>)": {'display_name': "~:0(<method 'rfind' of 'str' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1063(_fixupParents)': [18, 18, 1.1e-05, 1.1e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:112(basename)': [11, 11, 1.3e-05, 1.3e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/genericpath.py:93(_splitext)': [22, 22, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:120(dirname)': [34, 34, 2.3e-05, 2.3e-05]}, 'stats': [85, 85, 5.1e-05, 5.1e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:84(CompilerError)': {'display_name': 'errors.py:84(CompilerError)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/errors.py:2(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/util.py:691(increment)': {'display_name': 'util.py:691(increment)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/controller.py:276(run)': [10, 10, 9e-06, 9e-06]}, 'stats': [10, 10, 9e-06, 9e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/findlib.py:1(<module>)': {'display_name': 'findlib.py:1(<module>)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/cuda/cudadrv/libs.py:1(<module>)': [1, 1, 1.8999999999999998e-05, 1.8999999999999998e-05]}, 'stats': [1, 1, 1.8999999999999998e-05, 1.8999999999999998e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/executionengine.py:85(add_module)': {'display_name': 'executionengine.py:85(add_module)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/targets/codegen.py:536(_add_module)': [7, 7, 5.6e-05, 0.00016199999999999998]}, 'stats': [7, 7, 5.6e-05, 0.00016199999999999998], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/llvmlite/binding/ffi.py:163(__hash__)': [7, 7, 2.1e-05, 6.5e-05], "~:0(<method 'add' of 'set' objects>)": [7, 7, 9e-06, 4.1e-05]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:62(_is_descriptor)': {'display_name': '__init__.py:62(_is_descriptor)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/enum/__init__.py:105(__setitem__)': [57, 57, 4.2e-05, 0.000144]}, 'stats': [57, 57, 4.2e-05, 0.000144], 'children': {'~:0(<hasattr>)': [165, 165, 0.000102, 0.000102]}}, "~:0(<method 'isspace' of 'str' objects>)": {'display_name': "~:0(<method 'isspace' of 'str' objects>)", 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/ConfigParser.py:464(_read)': [84, 84, 9e-06, 9e-06]}, 'stats': [84, 84, 9e-06, 9e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:100(step)': {'display_name': 'solver.py:100(step)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:553(evolve_to_time)': [4804, 4804, 0.042187999999999996, 6.628734]}, 'stats': [4804, 4804, 0.042187999999999996, 6.628734], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solution.py:68(__getattr__)': [4804, 4804, 0.002068, 0.004653], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/cfl.py:19(get_cached_max)': [4804, 4804, 0.001023, 0.001023], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/classic/solver.py:283(step_hyperbolic)': [4804, 4804, 0.511324, 6.531346], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/solver.py:534(get_dt)': [4804, 4804, 0.018254, 0.047345], '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/clawpack/pyclaw/cfl.py:25(set_global_max)': [4804, 4804, 0.002179, 0.002179]}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:624(UnixDatagramServer)': {'display_name': 'SocketServer.py:624(UnixDatagramServer)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/SocketServer.py:120(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/ascii.py:20(IncrementalEncoder)': {'display_name': 'ascii.py:20(IncrementalEncoder)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/encodings/ascii.py:8(<module>)': [1, 1, 1e-06, 1e-06]}, 'stats': [1, 1, 1e-06, 1e-06], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/interpreter.py:89(Interpreter)': {'display_name': 'interpreter.py:89(Interpreter)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/interpreter.py:1(<module>)': [1, 1, 2.2e-05, 2.2e-05]}, 'stats': [1, 1, 2.2e-05, 2.2e-05], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:309(Module_six_moves_urllib_parse)': {'display_name': 'six.py:309(Module_six_moves_urllib_parse)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/site-packages/numba/six.py:1(<module>)': [1, 1, 0.0, 0.0]}, 'stats': [1, 1, 0.0, 0.0], 'children': {}}, '/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:237(__init__)': {'display_name': '__init__.py:237(__init__)', 'callers': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/logging/__init__.py:1246(makeRecord)': [11, 11, 0.000269, 0.000441]}, 'stats': [11, 11, 0.000269, 0.000441], 'children': {'/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:97(splitext)': [11, 11, 1.8e-05, 4.9e-05], '~:0(<hasattr>)': [11, 11, 4e-06, 4e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/posixpath.py:112(basename)': [11, 11, 1.4999999999999999e-05, 2.8e-05], "~:0(<method 'get' of 'dict' objects>)": [11, 11, 2e-06, 2e-06], '~:0(<thread.get_ident>)': [11, 11, 2e-06, 2e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/process.py:59(current_process)': [11, 11, 4.9999999999999996e-06, 4.9999999999999996e-06], '~:0(<time.time>)': [11, 11, 8e-06, 8e-06], '~:0(<posix.getpid>)': [11, 11, 8e-06, 8e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:1143(currentThread)': [11, 11, 1.4e-05, 1.7e-05], '/Users/aron/anaconda3/envs/python2/lib/python2.7/multiprocessing/process.py:161(name)': [11, 11, 9.999999999999999e-06, 9.999999999999999e-06], '/Users/aron/anaconda3/envs/python2/lib/python2.7/threading.py:958(name)': [11, 11, 1.4e-05, 1.4e-05], '/Users/aron/anaconda3/envs/py
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment