Skip to content

Instantly share code, notes, and snippets.

@ajvondrak
Created November 4, 2012 04:51
Show Gist options
  • Save ajvondrak/4010363 to your computer and use it in GitHub Desktop.
Save ajvondrak/4010363 to your computer and use it in GitHub Desktop.
GVN Benchmarks
def benchmarks(infile):
bms = (line.split() for line in open(infile))
return {bm:float(secs) for bm, secs in bms}
lvn = benchmarks('lvn.bench')
gvn = benchmarks('gvn.bench')
def diff(bm):
return 100 * (gvn[bm] - lvn[bm]) / lvn[bm]
bms = lvn.keys() # wlog
diffs = {bm:diff(bm) for bm in bms}
for bm in sorted(diffs, key=lambda bm: diffs[bm], reverse=True):
print '%-40s %+f' % (bm, diffs[bm])
Benchmark % diff ([gvn - lvn] / lvn)
benchmark.tcp-echo2 +346.631610
benchmark.regexp +57.491612
benchmark.sum-file +43.083598
benchmark.empty-loop-1 +41.723929
benchmark.raytracer-simd +40.443421
benchmark.spectral-norm +40.116549
benchmark.knucleotide +32.868119
benchmark.find-pi +23.289834
benchmark.e-decimals +20.941033
benchmark.nbody-simd +4.375416
benchmark.dispatch2 +4.369471
benchmark.sfmt +3.930436
benchmark.nsieve-bits +3.730847
benchmark.dispatch1 +3.651463
benchmark.fib3 +3.111575
benchmark.flip +2.946566
benchmark.completion +2.856563
benchmark.fib4 +2.792095
benchmark.crc32 +2.157855
benchmark.csv +1.260790
benchmark.struct-arrays +1.010264
benchmark.nested-empty-loop-2 +0.963540
benchmark.fasta +0.826144
benchmark.dlists +0.633394
benchmark.beust1 +0.612571
benchmark.3d-matrix-scalar +0.523874
benchmark.tcp-echo1 +0.106329
benchmark.empty-loop-0 +0.083698
benchmark.fib2 +0.077009
benchmark.gc1 +0.054415
benchmark.empty-loop-2 +0.015791
benchmark.md5 -0.009073
benchmark.e-ratios -0.083436
benchmark.splay -0.173884
benchmark.simd-1 -0.273776
benchmark.dispatch5 -0.336287
benchmark.combinatorics -0.548106
benchmark.fib5 -0.645150
benchmark.3d-matrix-vector -0.647478
benchmark.fib6 -0.724074
benchmark.javascript -0.767859
benchmark.make -0.847814
benchmark.gc0 -0.964810
benchmark.ant -1.016749
benchmark.matrix-exponential-simd -1.069415
benchmark.hashtables -1.206681
benchmark.backtrack -1.236500
benchmark.memoize -1.242050
benchmark.mandel -1.396770
benchmark.dispatch6 -1.436544
benchmark.reverse-complement -1.913587
benchmark.tcp-echo0 -2.165615
benchmark.dispatch3 -2.767629
benchmark.fib1 -2.862524
benchmark.chameneos-redux -3.546696
benchmark.nsieve -3.628636
benchmark.base64 -4.080220
benchmark.recursive -4.098988
benchmark.bootstrap1 -4.224052
benchmark.tuple-arrays -5.526566
benchmark.nested-empty-loop-1 -6.065700
benchmark.beust2 -6.325527
benchmark.udp-echo0 -7.465818
benchmark.gc2 -8.347663
benchmark.sort -9.118774
benchmark.udp-echo1 -9.678202
benchmark.binary-trees -10.631959
benchmark.sha1 -10.656090
benchmark.sockets -11.115162
benchmark.ui-panes -11.383333
benchmark.fannkuch -11.751065
benchmark.unicode -13.192119
benchmark.typecheck1 -13.980592
benchmark.yuv-to-rgb -14.016132
benchmark.busy-loop -14.049409
benchmark.iteration -14.330354
benchmark.stack -14.642117
benchmark.ring -15.097659
benchmark.typecheck2 -15.350988
benchmark.spectral-norm-simd -15.354845
benchmark.yield -15.602047
benchmark.xml -16.262890
benchmark.terrain-generation -16.540149
benchmark.typecheck3 -17.457632
benchmark.wrap -17.761474
benchmark.nbody -20.135834
benchmark.binary-search -20.437676
benchmark.matrix-exponential-scalar -20.446376
benchmark.hash-sets -20.806676
benchmark.udp-echo2 -20.996883
benchmark.partial-sums -21.550349
benchmark.random -23.183257
benchmark.mt -24.495902
benchmark.pidigits -26.348854
benchmark.gc3 -27.840154
benchmark.heaps -28.099992
benchmark.json -28.649107
benchmark.regex-dna -28.670645
benchmark.continuations -28.707571
benchmark.dispatch4 -29.174460
benchmark.euler150 -30.633854
benchmark.raytracer -31.513534
benchmark.nsieve-bytes -32.696233
benchmark.dawes -36.445082
benchmark.3d-matrix-scalar 1.461625218
benchmark.3d-matrix-vector 0.063829575
benchmark.ant 0.194071934
benchmark.backtrack 0.263435592
benchmark.base64 1.304917843
benchmark.beust1 1.707110997
benchmark.beust2 4.489253134
benchmark.binary-search 0.643851242
benchmark.binary-trees 1.971261322
benchmark.bootstrap1 8.138828906000001
benchmark.busy-loop 1.065731543
benchmark.chameneos-redux 2.562325568
benchmark.combinatorics 1.067532812
benchmark.completion 0.880096961
benchmark.continuations 0.702299166
benchmark.crc32 0.003673764
benchmark.csv 0.171555888
benchmark.dawes 0.49831215
benchmark.dispatch1 2.25148428
benchmark.dispatch2 1.761136674
benchmark.dispatch3 2.81396715
benchmark.dispatch4 3.837979058
benchmark.dispatch5 1.180276097
benchmark.dispatch6 4.659884236
benchmark.dlists 0.636021203
benchmark.e-decimals 1.284991399
benchmark.e-ratios 0.220784408
benchmark.empty-loop-0 0.315446165
benchmark.empty-loop-1 0.649905305
benchmark.empty-loop-2 0.255951313
benchmark.euler150 6.232442664
benchmark.fannkuch 2.28410777
benchmark.fasta 1.612916548
benchmark.fib1 0.111585193
benchmark.fib2 0.11793217
benchmark.fib3 0.232164255
benchmark.fib4 0.150831675
benchmark.fib5 0.354994257
benchmark.fib6 5.612670246
benchmark.find-pi 5.198999189
benchmark.flip 0.636859777
benchmark.gc0 1.527153752
benchmark.gc1 0.09388365999999999
benchmark.gc2 39.119547787
benchmark.gc3 0.632462788
benchmark.hash-sets 1.777545562
benchmark.hashtables 1.026867389
benchmark.heaps 0.9924723600000001
benchmark.iteration 3.346248953
benchmark.javascript 4.494077677
benchmark.json 0.480249352
benchmark.knucleotide 1.830792732
benchmark.make 0.000254601
benchmark.mandel 0.069873779
benchmark.matrix-exponential-scalar 4.065403582
benchmark.matrix-exponential-simd 0.170977413
benchmark.md5 3.324075403
benchmark.memoize 0.401013054
benchmark.mt 11.307101832
benchmark.nbody 2.903733764
benchmark.nbody-simd 0.42062477
benchmark.nested-empty-loop-1 0.054963976
benchmark.nested-empty-loop-2 0.397944622
benchmark.nsieve 0.360842045
benchmark.nsieve-bits 0.616291801
benchmark.nsieve-bytes 0.132241333
benchmark.partial-sums 1.286102028
benchmark.pidigits 0.88078237
benchmark.random 5.534231175
benchmark.raytracer 1.786277586
benchmark.raytracer-simd 0.573753328
benchmark.recursive 0.951306933
benchmark.regex-dna 0.782088789
benchmark.regexp 0.466745111
benchmark.reverse-complement 0.9880566039999999
benchmark.ring 0.635558357
benchmark.sfmt 1.233735451
benchmark.sha1 4.503741703
benchmark.simd-1 0.758272843
benchmark.sockets 7.262384229
benchmark.sort 3.492687558
benchmark.spectral-norm 1.584431226
benchmark.spectral-norm-simd 0.6134966039999999
benchmark.splay 0.645699545
benchmark.stack 1.036322465
benchmark.struct-arrays 1.229313444
benchmark.sum-file 0.7508638050000001
benchmark.tcp-echo0 0.194444716
benchmark.tcp-echo1 59.807019012
benchmark.tcp-echo2 59.686001535
benchmark.terrain-generation 0.512565893
benchmark.tuple-arrays 0.079442661
benchmark.typecheck1 1.162376946
benchmark.typecheck2 1.06710232
benchmark.typecheck3 1.167805926
benchmark.udp-echo0 0.194095554
benchmark.udp-echo1 0.330230712
benchmark.udp-echo2 1.16528475
benchmark.ui-panes 0.116555173
benchmark.unicode 0.496050539
benchmark.wrap 0.338055081
benchmark.xml 0.554107152
benchmark.yield 0.864486116
benchmark.yuv-to-rgb 0.079622974
benchmark.3d-matrix-scalar 1.454008051
benchmark.3d-matrix-vector 0.064245551
benchmark.ant 0.196065428
benchmark.backtrack 0.266733754
benchmark.base64 1.360426222
benchmark.beust1 1.696717392
benchmark.beust2 4.792397558
benchmark.binary-search 0.809241369
benchmark.binary-trees 2.205778821
benchmark.bootstrap1 8.497779534999999
benchmark.busy-loop 1.239935096
benchmark.chameneos-redux 2.656545149
benchmark.combinatorics 1.073416272
benchmark.completion 0.855654648
benchmark.continuations 0.985096419
benchmark.crc32 0.003596164
benchmark.csv 0.16941986
benchmark.dawes 0.784065444
benchmark.dispatch1 2.172168353
benchmark.dispatch2 1.687405957
benchmark.dispatch3 2.89406411
benchmark.dispatch4 5.41891958
benchmark.dispatch5 1.184258607
benchmark.dispatch6 4.727801162
benchmark.dlists 0.632018041
benchmark.e-decimals 1.062494146
benchmark.e-ratios 0.220968775
benchmark.empty-loop-0 0.315182364
benchmark.empty-loop-1 0.45857133
benchmark.empty-loop-2 0.255910902
benchmark.euler150 8.984847837
benchmark.fannkuch 2.588255326
benchmark.fasta 1.599700719
benchmark.fib1 0.114873474
benchmark.fib2 0.117841422
benchmark.fib3 0.225158285
benchmark.fib4 0.146734702
benchmark.fib5 0.357299373
benchmark.fib6 5.653606554
benchmark.find-pi 4.216892022
benchmark.flip 0.6186313959999999
benchmark.gc0 1.54203142
benchmark.gc1 0.093832601
benchmark.gc2 42.682542445
benchmark.gc3 0.876474691
benchmark.hash-sets 2.244564922
benchmark.hashtables 1.039409752
benchmark.heaps 1.38035084
benchmark.iteration 3.905991355
benchmark.javascript 4.52885289
benchmark.json 0.673081069
benchmark.knucleotide 1.3779022
benchmark.make 0.000256778
benchmark.mandel 0.07086358
benchmark.matrix-exponential-scalar 5.110268263
benchmark.matrix-exponential-simd 0.172825636
benchmark.md5 3.324377027
benchmark.memoize 0.40605648
benchmark.mt 14.975481061
benchmark.nbody 3.635840602
benchmark.nbody-simd 0.402992187
benchmark.nested-empty-loop-1 0.058513212
benchmark.nested-empty-loop-2 0.394146858
benchmark.nsieve 0.3744287
benchmark.nsieve-bits 0.594125876
benchmark.nsieve-bytes 0.196484296
benchmark.partial-sums 1.639398022
benchmark.pidigits 1.195884138
benchmark.random 7.204459582
benchmark.raytracer 2.608219815
benchmark.raytracer-simd 0.408529872
benchmark.recursive 0.991967564
benchmark.regex-dna 1.09644731
benchmark.regexp 0.296361886
benchmark.reverse-complement 1.007332795
benchmark.ring 0.7485757709999999
benchmark.sfmt 1.187078108
benchmark.sha1 5.040905104
benchmark.simd-1 0.760354512
benchmark.sockets 8.170554609
benchmark.sort 3.843134287
benchmark.spectral-norm 1.130795213
benchmark.spectral-norm-simd 0.724786434
benchmark.splay 0.646824271
benchmark.stack 1.214091103
benchmark.struct-arrays 1.21701834
benchmark.sum-file 0.524772802
benchmark.tcp-echo0 0.19874885
benchmark.tcp-echo1 59.743494376
benchmark.tcp-echo2 13.363586487
benchmark.terrain-generation 0.614146668
benchmark.tuple-arrays 0.084089947
benchmark.typecheck1 1.351296153
benchmark.typecheck2 1.260619928
benchmark.typecheck3 1.414795764
benchmark.udp-echo0 0.209755519
benchmark.udp-echo1 0.365615744
benchmark.udp-echo2 1.474985783
benchmark.ui-panes 0.131527371
benchmark.unicode 0.571434911
benchmark.wrap 0.41106656
benchmark.xml 0.661722323
benchmark.yield 1.024297491
benchmark.yuv-to-rgb 0.092602224
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment