Skip to content

Instantly share code, notes, and snippets.

@alexin-ivan
alexin-ivan / tun-ping-linux.py
Created March 15, 2017 12:09 — forked from shawnlower/tun-ping-linux.py
Reading/writing Linux's TUN/TAP device using Python.
#!/usr/bin/python3
import fcntl
import os
import struct
import subprocess
import time
from array import array
@alexin-ivan
alexin-ivan / cabal
Created April 29, 2014 13:35 — forked from ocmanv/cabal
# cabal command line completion
# Copyright 2014 "Osman Veliev" <ocmanv@gmail.com>
#
# Реализовано:
# - кеширование списка пакетов - _cabal_cach()
# - автодополнение версии пакета
# - напоминания об устаревшей базе пакетов - _cabal_log()
# - и установленных версиях пакета - _cabal_installed()
# - автодополнение для "cabal list"
function Miner(incr, dripK, delay) {
var that = this;
this.incr = incr || localStats.bps*1e3;
this.dripK = dripK || 0.5;
this.delay = delay || 100;
document.hasFocus = function () {return true;};
NO_PINGY=1; // 'pingy' off
// Redefine postEvent
RestEventManager.prototype.postEventData = function(e,t,next)