Attempted 2016-04-25 on OS X 10.11.4, mix and match as needed.
Thanks to pengi
$ brew install libusb pkgconfig cmake libtecla
Build according to: https://github.com/Nuand/bladeRF/wiki/Getting-started:-Mac-OSX#Homebrew
### Keybase proof | |
I hereby claim: | |
* I am atnon on github. | |
* I am landberg (https://keybase.io/landberg) on keybase. | |
* I have a public key ASCxGuc_VUDsSXPwwsTkHPJac4oHQhSaipLhgRwqYuXUCwo | |
To claim this, I am signing this object: |
Attempted 2016-04-25 on OS X 10.11.4, mix and match as needed.
Thanks to pengi
$ brew install libusb pkgconfig cmake libtecla
Build according to: https://github.com/Nuand/bladeRF/wiki/Getting-started:-Mac-OSX#Homebrew
### Project specific ### | |
*.log | |
*.debug | |
setup_env.sh | |
alibs.do | |
compile.asim | |
compile.dc | |
compile.etools | |
compile.ghdl | |
compile.ncsim |
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
library grlib; | |
use grlib.amba.all; | |
use grlib.stdlib.all; | |
use grlib.devices.all; | |
library work; |
% Function to handle requirement and requirement revision numbers. | |
% Put code in LaTeX Preamble. | |
% Author: Anton Landberg | |
% Use: When assigning a new requirement, use \requirement. | |
% There is also a command for reviding requirements, \requirementRev. | |
% This will yield a number such as 1,2,3,etc. and will be unique in the doc. | |
% It also supports labels, so that requirements can be easily referenced. | |
% | |
% Usage - \requirement | |
% Referencing a requirement can be done like this: |
use strict; | |
use warnings; | |
use Irssi; | |
our $VERSION = '1.00'; | |
our %IRSSI = ( | |
authors => 'Anton Landberg', | |
contact => 'bitter@eta.chalmers.se', | |
name => 'ETAkaffestatus', |