Skip to content

Instantly share code, notes, and snippets.

@avesus
Created February 25, 2020 16:02
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save avesus/721df5e0efcf58bda479309ff0b55869 to your computer and use it in GitHub Desktop.
Save avesus/721df5e0efcf58bda479309ff0b55869 to your computer and use it in GitHub Desktop.
Logisim circuit clocked FSM in FPGA
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0"/>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<main name="main"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(970,790)" to="(970,800)"/>
<wire from="(760,780)" to="(810,780)"/>
<wire from="(670,480)" to="(850,480)"/>
<wire from="(810,770)" to="(810,780)"/>
<wire from="(550,10)" to="(550,270)"/>
<wire from="(610,760)" to="(670,760)"/>
<wire from="(570,200)" to="(570,270)"/>
<wire from="(380,1070)" to="(680,1070)"/>
<wire from="(990,550)" to="(1090,550)"/>
<wire from="(610,730)" to="(610,760)"/>
<wire from="(1470,410)" to="(1520,410)"/>
<wire from="(1090,430)" to="(1400,430)"/>
<wire from="(780,420)" to="(950,420)"/>
<wire from="(850,480)" to="(850,560)"/>
<wire from="(850,560)" to="(960,560)"/>
<wire from="(720,600)" to="(720,770)"/>
<wire from="(340,30)" to="(430,30)"/>
<wire from="(560,300)" to="(560,600)"/>
<wire from="(590,760)" to="(610,760)"/>
<wire from="(740,10)" to="(760,10)"/>
<wire from="(670,30)" to="(700,30)"/>
<wire from="(520,780)" to="(550,780)"/>
<wire from="(370,820)" to="(390,820)"/>
<wire from="(690,950)" to="(1100,950)"/>
<wire from="(1100,760)" to="(1100,950)"/>
<wire from="(430,810)" to="(440,810)"/>
<wire from="(380,840)" to="(390,840)"/>
<wire from="(1100,760)" to="(1130,760)"/>
<wire from="(950,420)" to="(950,540)"/>
<wire from="(470,10)" to="(550,10)"/>
<wire from="(960,800)" to="(970,800)"/>
<wire from="(910,810)" to="(920,810)"/>
<wire from="(1100,720)" to="(1100,760)"/>
<wire from="(860,860)" to="(870,860)"/>
<wire from="(840,840)" to="(850,840)"/>
<wire from="(850,480)" to="(1250,480)"/>
<wire from="(370,1230)" to="(1260,1230)"/>
<wire from="(810,770)" to="(990,770)"/>
<wire from="(920,720)" to="(1100,720)"/>
<wire from="(350,110)" to="(670,110)"/>
<wire from="(1270,480)" to="(1270,500)"/>
<wire from="(1250,480)" to="(1250,500)"/>
<wire from="(920,720)" to="(920,790)"/>
<wire from="(570,200)" to="(760,200)"/>
<wire from="(430,730)" to="(610,730)"/>
<wire from="(690,950)" to="(690,1020)"/>
<wire from="(670,790)" to="(730,790)"/>
<wire from="(340,770)" to="(340,780)"/>
<wire from="(1470,410)" to="(1470,480)"/>
<wire from="(670,480)" to="(670,760)"/>
<wire from="(670,760)" to="(670,790)"/>
<wire from="(430,730)" to="(430,810)"/>
<wire from="(480,790)" to="(480,820)"/>
<wire from="(670,30)" to="(670,110)"/>
<wire from="(370,820)" to="(370,1230)"/>
<wire from="(680,1050)" to="(680,1070)"/>
<wire from="(380,840)" to="(380,1070)"/>
<wire from="(40,50)" to="(70,50)"/>
<wire from="(1020,780)" to="(1040,780)"/>
<wire from="(970,790)" to="(990,790)"/>
<wire from="(870,820)" to="(870,860)"/>
<wire from="(850,800)" to="(850,840)"/>
<wire from="(310,780)" to="(340,780)"/>
<wire from="(1090,430)" to="(1090,550)"/>
<wire from="(560,600)" to="(720,600)"/>
<wire from="(1270,480)" to="(1470,480)"/>
<wire from="(670,790)" to="(670,1020)"/>
<wire from="(340,770)" to="(490,770)"/>
<wire from="(1260,530)" to="(1260,1230)"/>
<wire from="(1260,1230)" to="(1260,1290)"/>
<wire from="(850,800)" to="(880,800)"/>
<wire from="(420,830)" to="(430,830)"/>
<wire from="(480,790)" to="(490,790)"/>
<wire from="(470,820)" to="(480,820)"/>
<wire from="(1440,410)" to="(1470,410)"/>
<wire from="(760,10)" to="(760,200)"/>
<wire from="(950,540)" to="(960,540)"/>
<wire from="(680,1070)" to="(680,1120)"/>
<wire from="(920,790)" to="(930,790)"/>
<wire from="(870,820)" to="(880,820)"/>
<wire from="(1080,760)" to="(1100,760)"/>
<wire from="(760,10)" to="(770,10)"/>
<wire from="(720,770)" to="(730,770)"/>
<comp lib="4" loc="(740,10)" name="D Flip-Flop"/>
<comp lib="1" loc="(1260,530)" name="AND Gate">
<a name="facing" val="south"/>
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(702,593)" name="Text">
<a name="text" val="event"/>
</comp>
<comp lib="4" loc="(1080,760)" name="D Flip-Flop"/>
<comp lib="1" loc="(520,780)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(960,800)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="1" loc="(680,1050)" name="AND Gate">
<a name="facing" val="south"/>
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(550,760)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(840,840)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event"/>
</comp>
<comp lib="0" loc="(350,110)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="tx"/>
</comp>
<comp lib="0" loc="(860,860)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event"/>
</comp>
<comp lib="0" loc="(1400,410)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(1040,760)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(560,300)" name="AND Gate">
<a name="facing" val="south"/>
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(340,30)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="init"/>
</comp>
<comp lib="0" loc="(70,50)" name="Tunnel">
<a name="label" val="C"/>
</comp>
<comp lib="4" loc="(590,760)" name="D Flip-Flop"/>
<comp lib="0" loc="(430,10)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(470,820)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="0" loc="(700,10)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(310,780)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="init"/>
</comp>
<comp lib="4" loc="(1440,410)" name="D Flip-Flop"/>
<comp lib="1" loc="(420,830)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(760,780)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="4" loc="(470,10)" name="D Flip-Flop"/>
<comp lib="1" loc="(1020,780)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(780,420)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event"/>
</comp>
<comp lib="6" loc="(587,332)" name="Text">
<a name="text" val="event"/>
</comp>
<comp lib="0" loc="(40,50)" name="Clock"/>
<comp lib="1" loc="(910,810)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(990,550)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
</circuit>
<circuit name="fpga-optimized">
<a name="circuit" val="fpga-optimized"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(280,50)" to="(340,50)"/>
<wire from="(150,730)" to="(340,730)"/>
<wire from="(770,190)" to="(770,200)"/>
<wire from="(790,590)" to="(790,600)"/>
<wire from="(30,380)" to="(30,580)"/>
<wire from="(790,660)" to="(790,730)"/>
<wire from="(520,620)" to="(710,620)"/>
<wire from="(340,50)" to="(340,380)"/>
<wire from="(340,400)" to="(340,410)"/>
<wire from="(520,220)" to="(520,370)"/>
<wire from="(750,600)" to="(790,600)"/>
<wire from="(30,580)" to="(450,580)"/>
<wire from="(30,220)" to="(450,220)"/>
<wire from="(20,440)" to="(20,860)"/>
<wire from="(310,410)" to="(340,410)"/>
<wire from="(740,200)" to="(770,200)"/>
<wire from="(430,440)" to="(450,440)"/>
<wire from="(40,230)" to="(40,340)"/>
<wire from="(310,230)" to="(310,410)"/>
<wire from="(380,460)" to="(390,460)"/>
<wire from="(340,380)" to="(350,380)"/>
<wire from="(340,400)" to="(350,400)"/>
<wire from="(250,430)" to="(260,430)"/>
<wire from="(210,430)" to="(220,430)"/>
<wire from="(20,420)" to="(220,420)"/>
<wire from="(20,440)" to="(220,440)"/>
<wire from="(820,180)" to="(830,180)"/>
<wire from="(790,590)" to="(800,590)"/>
<wire from="(830,650)" to="(840,650)"/>
<wire from="(340,730)" to="(790,730)"/>
<wire from="(520,440)" to="(520,620)"/>
<wire from="(450,440)" to="(520,440)"/>
<wire from="(40,340)" to="(170,340)"/>
<wire from="(340,470)" to="(340,730)"/>
<wire from="(520,220)" to="(700,220)"/>
<wire from="(20,860)" to="(910,860)"/>
<wire from="(150,360)" to="(150,370)"/>
<wire from="(450,440)" to="(450,580)"/>
<wire from="(30,220)" to="(30,360)"/>
<wire from="(20,110)" to="(900,110)"/>
<wire from="(450,220)" to="(450,370)"/>
<wire from="(210,350)" to="(210,430)"/>
<wire from="(340,50)" to="(770,50)"/>
<wire from="(40,50)" to="(70,50)"/>
<wire from="(790,600)" to="(790,640)"/>
<wire from="(770,170)" to="(790,170)"/>
<wire from="(770,190)" to="(790,190)"/>
<wire from="(910,630)" to="(910,860)"/>
<wire from="(430,370)" to="(450,370)"/>
<wire from="(340,410)" to="(340,450)"/>
<wire from="(880,630)" to="(910,630)"/>
<wire from="(870,160)" to="(900,160)"/>
<wire from="(30,380)" to="(110,380)"/>
<wire from="(30,360)" to="(110,360)"/>
<wire from="(40,230)" to="(310,230)"/>
<wire from="(300,410)" to="(310,410)"/>
<wire from="(380,390)" to="(390,390)"/>
<wire from="(770,50)" to="(770,170)"/>
<wire from="(340,470)" to="(350,470)"/>
<wire from="(340,450)" to="(350,450)"/>
<wire from="(150,360)" to="(160,360)"/>
<wire from="(140,370)" to="(150,370)"/>
<wire from="(200,350)" to="(210,350)"/>
<wire from="(20,110)" to="(20,420)"/>
<wire from="(790,640)" to="(800,640)"/>
<wire from="(820,250)" to="(830,250)"/>
<wire from="(830,580)" to="(840,580)"/>
<wire from="(790,660)" to="(800,660)"/>
<wire from="(450,370)" to="(520,370)"/>
<wire from="(900,110)" to="(900,160)"/>
<comp lib="0" loc="(70,50)" name="Tunnel">
<a name="label" val="C"/>
</comp>
<comp lib="4" loc="(430,440)" name="D Flip-Flop"/>
<comp lib="4" loc="(870,230)" name="D Flip-Flop"/>
<comp lib="4" loc="(740,200)" name="D Flip-Flop"/>
<comp lib="1" loc="(820,180)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(150,730)" name="Pin">
<a name="tristate" val="false"/>
</comp>
<comp lib="4" loc="(870,160)" name="D Flip-Flop"/>
<comp lib="0" loc="(840,560)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(40,50)" name="Clock"/>
<comp lib="0" loc="(830,230)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="4" loc="(750,600)" name="D Flip-Flop"/>
<comp lib="4" loc="(300,410)" name="D Flip-Flop"/>
<comp lib="1" loc="(250,430)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(830,160)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(380,390)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(260,410)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(700,200)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(820,250)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(830,650)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="4" loc="(430,370)" name="D Flip-Flop"/>
<comp lib="0" loc="(710,600)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(390,440)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(200,350)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="1" loc="(830,580)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(140,370)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(380,460)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="4" loc="(880,630)" name="D Flip-Flop"/>
<comp lib="4" loc="(880,560)" name="D Flip-Flop"/>
<comp lib="0" loc="(390,370)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(840,630)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(280,50)" name="Pin">
<a name="tristate" val="false"/>
</comp>
</circuit>
<circuit name="logic-gates">
<a name="circuit" val="logic-gates"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(740,540)" to="(740,550)"/>
<wire from="(870,370)" to="(990,370)"/>
<wire from="(700,280)" to="(890,280)"/>
<wire from="(450,490)" to="(450,500)"/>
<wire from="(440,810)" to="(880,810)"/>
<wire from="(340,520)" to="(340,540)"/>
<wire from="(160,290)" to="(200,290)"/>
<wire from="(940,400)" to="(940,420)"/>
<wire from="(250,300)" to="(480,300)"/>
<wire from="(740,520)" to="(740,540)"/>
<wire from="(170,710)" to="(200,710)"/>
<wire from="(870,340)" to="(890,340)"/>
<wire from="(870,360)" to="(890,360)"/>
<wire from="(70,510)" to="(100,510)"/>
<wire from="(70,530)" to="(100,530)"/>
<wire from="(450,500)" to="(610,500)"/>
<wire from="(380,510)" to="(400,510)"/>
<wire from="(440,640)" to="(440,810)"/>
<wire from="(340,570)" to="(620,570)"/>
<wire from="(610,400)" to="(610,500)"/>
<wire from="(230,300)" to="(250,300)"/>
<wire from="(440,360)" to="(450,360)"/>
<wire from="(290,590)" to="(300,590)"/>
<wire from="(340,520)" to="(350,520)"/>
<wire from="(140,520)" to="(150,520)"/>
<wire from="(240,520)" to="(250,520)"/>
<wire from="(240,720)" to="(250,720)"/>
<wire from="(920,280)" to="(930,280)"/>
<wire from="(1030,330)" to="(1040,330)"/>
<wire from="(250,300)" to="(250,420)"/>
<wire from="(610,350)" to="(610,400)"/>
<wire from="(600,340)" to="(870,340)"/>
<wire from="(250,600)" to="(250,720)"/>
<wire from="(740,520)" to="(750,520)"/>
<wire from="(880,220)" to="(880,270)"/>
<wire from="(610,400)" to="(940,400)"/>
<wire from="(350,410)" to="(600,410)"/>
<wire from="(880,290)" to="(880,810)"/>
<wire from="(870,300)" to="(990,300)"/>
<wire from="(870,360)" to="(870,370)"/>
<wire from="(450,220)" to="(450,360)"/>
<wire from="(150,540)" to="(200,540)"/>
<wire from="(600,340)" to="(600,410)"/>
<wire from="(620,360)" to="(870,360)"/>
<wire from="(250,500)" to="(250,520)"/>
<wire from="(990,340)" to="(990,370)"/>
<wire from="(450,220)" to="(880,220)"/>
<wire from="(700,280)" to="(700,500)"/>
<wire from="(150,520)" to="(150,540)"/>
<wire from="(250,500)" to="(350,500)"/>
<wire from="(170,730)" to="(210,730)"/>
<wire from="(620,360)" to="(620,570)"/>
<wire from="(990,300)" to="(990,320)"/>
<wire from="(800,600)" to="(820,600)"/>
<wire from="(160,310)" to="(190,310)"/>
<wire from="(250,720)" to="(470,720)"/>
<wire from="(610,350)" to="(890,350)"/>
<wire from="(40,50)" to="(70,50)"/>
<wire from="(870,300)" to="(870,340)"/>
<wire from="(290,430)" to="(310,430)"/>
<wire from="(430,640)" to="(440,640)"/>
<wire from="(440,490)" to="(450,490)"/>
<wire from="(250,440)" to="(260,440)"/>
<wire from="(250,580)" to="(260,580)"/>
<wire from="(250,600)" to="(260,600)"/>
<wire from="(940,420)" to="(1010,420)"/>
<wire from="(250,420)" to="(260,420)"/>
<wire from="(340,540)" to="(740,540)"/>
<wire from="(920,350)" to="(930,350)"/>
<wire from="(250,520)" to="(250,580)"/>
<wire from="(990,320)" to="(1000,320)"/>
<wire from="(990,340)" to="(1000,340)"/>
<wire from="(880,270)" to="(890,270)"/>
<wire from="(880,290)" to="(890,290)"/>
<wire from="(740,550)" to="(820,550)"/>
<wire from="(690,500)" to="(700,500)"/>
<wire from="(760,590)" to="(770,590)"/>
<wire from="(760,610)" to="(770,610)"/>
<wire from="(820,550)" to="(820,600)"/>
<wire from="(250,440)" to="(250,500)"/>
<comp lib="0" loc="(390,640)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="6" loc="(551,200)" name="Text">
<a name="text" val="3 data evts"/>
</comp>
<comp lib="0" loc="(650,430)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(760,590)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="A"/>
</comp>
<comp lib="0" loc="(70,50)" name="Tunnel">
<a name="label" val="C"/>
</comp>
<comp lib="6" loc="(599,130)" name="Text">
<a name="text" val="A=1, B=1"/>
</comp>
<comp lib="4" loc="(970,260)" name="D Flip-Flop">
<a name="label" val="OR=0"/>
</comp>
<comp lib="4" loc="(970,330)" name="D Flip-Flop">
<a name="label" val="OR=1"/>
</comp>
<comp lib="6" loc="(325,154)" name="Text">
<a name="text" val="3 data evts"/>
</comp>
<comp lib="0" loc="(480,360)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="4" loc="(1080,310)" name="D Flip-Flop">
<a name="label" val="XOR=1"/>
</comp>
<comp lib="1" loc="(290,430)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(400,490)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(920,280)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(50,260)" name="Tunnel">
<a name="label" val="A"/>
</comp>
<comp lib="4" loc="(690,500)" name="D Flip-Flop">
<a name="label" val="11-&gt;00"/>
</comp>
<comp lib="4" loc="(440,360)" name="D Flip-Flop">
<a name="label" val="01-&gt;00"/>
</comp>
<comp lib="4" loc="(590,360)" name="D Flip-Flop">
<a name="label" val="01-&gt;11"/>
</comp>
<comp lib="6" loc="(359,137)" name="Text">
<a name="text" val="A=0, B=0"/>
</comp>
<comp lib="4" loc="(520,360)" name="D Flip-Flop">
<a name="label" val="01-&gt;10"/>
</comp>
<comp lib="4" loc="(340,570)" name="D Flip-Flop">
<a name="label" val="00-&gt;10"/>
</comp>
<comp lib="4" loc="(240,520)" name="D Flip-Flop">
<a name="label" val="00"/>
</comp>
<comp lib="0" loc="(50,260)" name="Pin">
<a name="tristate" val="false"/>
</comp>
<comp lib="0" loc="(650,500)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="6" loc="(491,183)" name="Text">
<a name="text" val="A=0, B=1"/>
</comp>
<comp lib="0" loc="(480,280)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(160,310)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="B"/>
</comp>
<comp lib="6" loc="(501,50)" name="Text">
<a name="text" val="A=1, B=0"/>
</comp>
<comp lib="1" loc="(1030,330)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(930,260)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(800,600)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(548,68)" name="Text">
<a name="text" val="3 data evts"/>
</comp>
<comp lib="4" loc="(350,410)" name="D Flip-Flop">
<a name="label" val="00-&gt;01"/>
</comp>
<comp lib="1" loc="(380,510)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="4" loc="(520,280)" name="D Flip-Flop">
<a name="label" val="01"/>
</comp>
<comp lib="4" loc="(1050,400)" name="D Flip-Flop">
<a name="label" val="AND=1"/>
</comp>
<comp lib="4" loc="(690,430)" name="D Flip-Flop">
<a name="label" val="11-&gt;01"/>
</comp>
<comp lib="0" loc="(170,730)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="B"/>
</comp>
<comp lib="1" loc="(920,350)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="4" loc="(690,570)" name="D Flip-Flop">
<a name="label" val="11-&gt;10"/>
</comp>
<comp lib="0" loc="(50,280)" name="Pin">
<a name="tristate" val="false"/>
</comp>
<comp lib="0" loc="(310,410)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(90,940)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(650,570)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="6" loc="(671,148)" name="Text">
<a name="text" val="3 data evts"/>
</comp>
<comp lib="1" loc="(140,520)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate0" val="true"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="0" loc="(750,500)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(550,360)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(550,640)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(1040,310)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(470,700)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(160,290)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="A"/>
</comp>
<comp lib="0" loc="(50,280)" name="Tunnel">
<a name="label" val="B"/>
</comp>
<comp lib="4" loc="(510,700)" name="D Flip-Flop">
<a name="label" val="10"/>
</comp>
<comp lib="1" loc="(230,300)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="4" loc="(590,640)" name="D Flip-Flop">
<a name="label" val="10-&gt;11"/>
</comp>
<comp loc="(100,930)" name="DFF">
<a name="label" val="00"/>
</comp>
<comp lib="1" loc="(240,720)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate0" val="true"/>
</comp>
<comp lib="4" loc="(510,640)" name="D Flip-Flop">
<a name="label" val="10-&gt;01"/>
</comp>
<comp loc="(200,930)" name="DFF">
<a name="label" val="00-&gt;01"/>
</comp>
<comp lib="1" loc="(290,590)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(930,330)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(70,530)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="B"/>
</comp>
<comp lib="4" loc="(440,490)" name="D Flip-Flop">
<a name="label" val="00-&gt;11"/>
</comp>
<comp lib="0" loc="(1010,400)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="4" loc="(430,640)" name="D Flip-Flop">
<a name="label" val="10-&gt;00"/>
</comp>
<comp lib="0" loc="(760,610)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="B"/>
</comp>
<comp lib="0" loc="(70,510)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="A"/>
</comp>
<comp lib="0" loc="(300,570)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(170,710)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="A"/>
</comp>
<comp lib="0" loc="(200,520)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(40,50)" name="Clock">
<a name="highDuration" val="4"/>
<a name="lowDuration" val="4"/>
</comp>
<comp lib="6" loc="(259,18)" name="Text">
<a name="text" val="Events:"/>
</comp>
<comp lib="0" loc="(470,640)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="4" loc="(790,500)" name="D Flip-Flop">
<a name="label" val="11"/>
</comp>
<comp lib="0" loc="(400,360)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(190,940)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
</circuit>
<circuit name="DFF">
<a name="circuit" val="DFF"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<appear>
<rect fill="none" height="20" stroke="#000000" stroke-width="2" width="20" x="50" y="50"/>
<circ-port height="8" pin="260,550" width="8" x="56" y="66"/>
<circ-port height="8" pin="260,660" width="8" x="46" y="56"/>
<circ-port height="10" pin="950,450" width="10" x="65" y="55"/>
<circ-anchor facing="east" height="6" width="6" x="67" y="57"/>
</appear>
<wire from="(520,600)" to="(640,600)"/>
<wire from="(760,500)" to="(880,500)"/>
<wire from="(430,510)" to="(430,650)"/>
<wire from="(430,510)" to="(740,510)"/>
<wire from="(460,560)" to="(580,560)"/>
<wire from="(560,210)" to="(560,300)"/>
<wire from="(560,450)" to="(560,540)"/>
<wire from="(760,500)" to="(760,530)"/>
<wire from="(430,650)" to="(530,650)"/>
<wire from="(560,300)" to="(560,450)"/>
<wire from="(520,600)" to="(520,640)"/>
<wire from="(500,550)" to="(590,550)"/>
<wire from="(740,540)" to="(770,540)"/>
<wire from="(560,540)" to="(590,540)"/>
<wire from="(290,320)" to="(430,320)"/>
<wire from="(560,150)" to="(820,150)"/>
<wire from="(260,660)" to="(530,660)"/>
<wire from="(290,190)" to="(560,190)"/>
<wire from="(880,450)" to="(950,450)"/>
<wire from="(500,310)" to="(500,550)"/>
<wire from="(820,440)" to="(830,440)"/>
<wire from="(820,460)" to="(830,460)"/>
<wire from="(870,450)" to="(880,450)"/>
<wire from="(620,200)" to="(620,250)"/>
<wire from="(570,650)" to="(580,650)"/>
<wire from="(520,640)" to="(530,640)"/>
<wire from="(580,560)" to="(590,560)"/>
<wire from="(630,550)" to="(640,550)"/>
<wire from="(500,250)" to="(620,250)"/>
<wire from="(430,300)" to="(430,320)"/>
<wire from="(580,560)" to="(580,650)"/>
<wire from="(260,550)" to="(500,550)"/>
<wire from="(460,200)" to="(570,200)"/>
<wire from="(740,510)" to="(740,540)"/>
<wire from="(820,460)" to="(820,540)"/>
<wire from="(560,150)" to="(560,190)"/>
<wire from="(820,150)" to="(820,440)"/>
<wire from="(500,250)" to="(500,290)"/>
<wire from="(460,200)" to="(460,560)"/>
<wire from="(500,290)" to="(510,290)"/>
<wire from="(500,310)" to="(510,310)"/>
<wire from="(640,550)" to="(770,550)"/>
<wire from="(430,300)" to="(510,300)"/>
<wire from="(430,320)" to="(430,510)"/>
<wire from="(810,540)" to="(820,540)"/>
<wire from="(560,450)" to="(830,450)"/>
<wire from="(640,550)" to="(640,600)"/>
<wire from="(760,530)" to="(770,530)"/>
<wire from="(550,300)" to="(560,300)"/>
<wire from="(560,210)" to="(570,210)"/>
<wire from="(560,190)" to="(570,190)"/>
<wire from="(880,450)" to="(880,500)"/>
<wire from="(610,200)" to="(620,200)"/>
<comp lib="0" loc="(950,450)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="Q"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(260,550)" name="Pin">
<a name="tristate" val="false"/>
<a name="pull" val="down"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(290,190)" name="Constant"/>
<comp lib="6" loc="(277,165)" name="Text">
<a name="text" val="\PRE"/>
</comp>
<comp lib="1" loc="(570,650)" name="NAND Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="6" loc="(278,293)" name="Text">
<a name="text" val="\CLR"/>
</comp>
<comp lib="1" loc="(810,540)" name="NAND Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(260,660)" name="Pin">
<a name="tristate" val="false"/>
<a name="pull" val="down"/>
<a name="label" val="D"/>
</comp>
<comp lib="1" loc="(630,550)" name="NAND Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="1" loc="(550,300)" name="NAND Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(290,320)" name="Constant"/>
<comp lib="1" loc="(870,450)" name="NAND Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="1" loc="(610,200)" name="NAND Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
</circuit>
<circuit name="arrow">
<a name="circuit" val="arrow"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<appear>
<rect fill="none" height="10" stroke="#000000" stroke-width="2" width="530" x="50" y="60"/>
<circ-port height="8" pin="200,450" width="8" x="46" y="56"/>
<circ-port height="10" pin="200,510" width="10" x="45" y="65"/>
<circ-port height="10" pin="1400,400" width="10" x="575" y="55"/>
<circ-port height="8" pin="1400,470" width="8" x="576" y="66"/>
<circ-port height="8" pin="400,70" width="8" x="156" y="56"/>
<circ-port height="8" pin="1210,300" width="8" x="556" y="56"/>
<circ-port height="10" pin="1290,300" width="10" x="545" y="55"/>
<circ-port height="8" pin="310,660" width="8" x="66" y="66"/>
<circ-port height="10" pin="360,660" width="10" x="75" y="65"/>
<circ-port height="8" pin="1070,810" width="8" x="306" y="56"/>
<circ-port height="10" pin="1230,690" width="10" x="465" y="65"/>
<circ-anchor facing="east" height="6" width="6" x="577" y="57"/>
</appear>
<wire from="(1290,470)" to="(1400,470)"/>
<wire from="(450,440)" to="(1020,440)"/>
<wire from="(1290,320)" to="(1290,470)"/>
<wire from="(1290,300)" to="(1290,320)"/>
<wire from="(310,520)" to="(310,660)"/>
<wire from="(950,320)" to="(950,390)"/>
<wire from="(1210,300)" to="(1210,390)"/>
<wire from="(360,450)" to="(410,450)"/>
<wire from="(310,440)" to="(310,520)"/>
<wire from="(1020,440)" to="(1020,530)"/>
<wire from="(360,450)" to="(360,660)"/>
<wire from="(970,350)" to="(1140,350)"/>
<wire from="(970,350)" to="(970,370)"/>
<wire from="(310,440)" to="(400,440)"/>
<wire from="(950,390)" to="(970,390)"/>
<wire from="(950,320)" to="(1290,320)"/>
<wire from="(200,450)" to="(360,450)"/>
<wire from="(1390,400)" to="(1400,400)"/>
<wire from="(1230,510)" to="(1230,690)"/>
<wire from="(1020,380)" to="(1020,420)"/>
<wire from="(1130,410)" to="(1140,410)"/>
<wire from="(240,500)" to="(450,500)"/>
<wire from="(1140,350)" to="(1140,410)"/>
<wire from="(400,70)" to="(400,430)"/>
<wire from="(400,430)" to="(410,430)"/>
<wire from="(440,440)" to="(450,440)"/>
<wire from="(1200,510)" to="(1230,510)"/>
<wire from="(1060,430)" to="(1090,430)"/>
<wire from="(1070,410)" to="(1070,510)"/>
<wire from="(200,510)" to="(210,510)"/>
<wire from="(1140,410)" to="(1360,410)"/>
<wire from="(1070,510)" to="(1160,510)"/>
<wire from="(1210,390)" to="(1360,390)"/>
<wire from="(970,370)" to="(980,370)"/>
<wire from="(240,520)" to="(310,520)"/>
<wire from="(1020,420)" to="(1030,420)"/>
<wire from="(1010,380)" to="(1020,380)"/>
<wire from="(1020,440)" to="(1030,440)"/>
<wire from="(450,440)" to="(450,500)"/>
<wire from="(1020,530)" to="(1160,530)"/>
<wire from="(1070,410)" to="(1090,410)"/>
<wire from="(1070,510)" to="(1070,810)"/>
<comp lib="4" loc="(1130,410)" name="D Flip-Flop"/>
<comp lib="0" loc="(400,70)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="pull" val="down"/>
<a name="label" val="EVENT"/>
</comp>
<comp lib="4" loc="(1200,510)" name="D Flip-Flop"/>
<comp lib="1" loc="(440,440)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="0" loc="(1210,300)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="pull" val="down"/>
<a name="label" val="CASC_STATE"/>
</comp>
<comp lib="1" loc="(210,510)" name="OR Gate">
<a name="facing" val="west"/>
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(310,660)" name="Pin">
<a name="facing" val="north"/>
<a name="tristate" val="false"/>
<a name="pull" val="down"/>
<a name="label" val="CASC_RESET"/>
</comp>
<comp lib="0" loc="(1290,300)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="label" val="CASC_RESET"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(200,450)" name="Pin">
<a name="tristate" val="false"/>
<a name="pull" val="down"/>
<a name="label" val="STATE_IN"/>
</comp>
<comp lib="1" loc="(1010,380)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="0" loc="(200,510)" name="Pin">
<a name="output" val="true"/>
<a name="label" val="RESET_OUT"/>
</comp>
<comp lib="0" loc="(1230,690)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="label" val="TX_HAPPENED"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(1400,400)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="STATE_OUT"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(1060,430)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(360,660)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="label" val="CASC_STATE"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(1070,810)" name="Pin">
<a name="facing" val="north"/>
<a name="tristate" val="false"/>
<a name="label" val="CLOCK"/>
</comp>
<comp lib="0" loc="(1400,470)" name="Pin">
<a name="facing" val="west"/>
<a name="tristate" val="false"/>
<a name="pull" val="down"/>
<a name="label" val="RESET_IN"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(1390,400)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
</circuit>
<circuit name="arrows">
<a name="circuit" val="arrows"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(920,1840)" to="(970,1840)"/>
<wire from="(90,510)" to="(90,1150)"/>
<wire from="(990,40)" to="(990,500)"/>
<wire from="(2140,1850)" to="(2160,1850)"/>
<wire from="(1570,510)" to="(1570,660)"/>
<wire from="(1500,810)" to="(1560,810)"/>
<wire from="(90,1150)" to="(90,1810)"/>
<wire from="(90,490)" to="(90,510)"/>
<wire from="(940,1750)" to="(980,1750)"/>
<wire from="(670,1690)" to="(670,2090)"/>
<wire from="(1520,1170)" to="(1520,1630)"/>
<wire from="(1510,510)" to="(1520,510)"/>
<wire from="(920,470)" to="(940,470)"/>
<wire from="(950,1100)" to="(970,1100)"/>
<wire from="(990,1820)" to="(1010,1820)"/>
<wire from="(840,40)" to="(990,40)"/>
<wire from="(920,460)" to="(950,460)"/>
<wire from="(940,1760)" to="(970,1760)"/>
<wire from="(880,1100)" to="(880,1260)"/>
<wire from="(690,1750)" to="(910,1750)"/>
<wire from="(1570,1630)" to="(1570,1800)"/>
<wire from="(950,1110)" to="(960,1110)"/>
<wire from="(990,1150)" to="(1000,1150)"/>
<wire from="(1040,1810)" to="(1510,1810)"/>
<wire from="(90,510)" to="(930,510)"/>
<wire from="(1530,1160)" to="(1550,1160)"/>
<wire from="(930,1690)" to="(930,1740)"/>
<wire from="(1610,770)" to="(1610,1830)"/>
<wire from="(1010,810)" to="(1010,1140)"/>
<wire from="(910,770)" to="(1610,770)"/>
<wire from="(880,1710)" to="(880,1840)"/>
<wire from="(2170,1400)" to="(2170,1830)"/>
<wire from="(940,470)" to="(940,500)"/>
<wire from="(1580,1830)" to="(1580,1840)"/>
<wire from="(880,1100)" to="(920,1100)"/>
<wire from="(2150,1840)" to="(2160,1840)"/>
<wire from="(690,2050)" to="(1750,2050)"/>
<wire from="(90,1810)" to="(960,1810)"/>
<wire from="(1010,810)" to="(1500,810)"/>
<wire from="(1540,40)" to="(1540,500)"/>
<wire from="(1540,1150)" to="(1550,1150)"/>
<wire from="(900,1700)" to="(920,1700)"/>
<wire from="(960,520)" to="(980,520)"/>
<wire from="(1010,510)" to="(1480,510)"/>
<wire from="(1000,1810)" to="(1010,1810)"/>
<wire from="(970,1100)" to="(970,1140)"/>
<wire from="(1750,1810)" to="(1750,2050)"/>
<wire from="(900,1690)" to="(930,1690)"/>
<wire from="(910,480)" to="(910,770)"/>
<wire from="(1580,1150)" to="(1590,1150)"/>
<wire from="(1540,1820)" to="(1560,1820)"/>
<wire from="(880,1260)" to="(1590,1260)"/>
<wire from="(1590,1150)" to="(1590,1260)"/>
<wire from="(980,1150)" to="(990,1150)"/>
<wire from="(1630,1840)" to="(2110,1840)"/>
<wire from="(980,1750)" to="(980,1800)"/>
<wire from="(940,1400)" to="(2170,1400)"/>
<wire from="(970,1830)" to="(970,1840)"/>
<wire from="(920,1770)" to="(920,1840)"/>
<wire from="(1570,1830)" to="(1570,1850)"/>
<wire from="(2190,1840)" to="(2210,1840)"/>
<wire from="(1560,810)" to="(1560,1140)"/>
<wire from="(940,1120)" to="(940,1400)"/>
<wire from="(2140,1840)" to="(2150,1840)"/>
<wire from="(2210,1840)" to="(2210,2090)"/>
<wire from="(1530,1150)" to="(1540,1150)"/>
<wire from="(920,1700)" to="(920,1740)"/>
<wire from="(90,1150)" to="(950,1150)"/>
<wire from="(690,1750)" to="(690,2050)"/>
<wire from="(1550,1810)" to="(1560,1810)"/>
<wire from="(860,460)" to="(890,460)"/>
<wire from="(670,1690)" to="(870,1690)"/>
<wire from="(970,510)" to="(980,510)"/>
<wire from="(990,1810)" to="(1000,1810)"/>
<wire from="(1570,1850)" to="(1600,1850)"/>
<wire from="(1510,520)" to="(1530,520)"/>
<wire from="(860,460)" to="(860,660)"/>
<wire from="(970,1840)" to="(970,1850)"/>
<wire from="(1500,530)" to="(1500,810)"/>
<wire from="(960,1110)" to="(960,1140)"/>
<wire from="(990,40)" to="(1540,40)"/>
<wire from="(880,1840)" to="(920,1840)"/>
<wire from="(1520,1630)" to="(1570,1630)"/>
<wire from="(1020,1630)" to="(1520,1630)"/>
<wire from="(1520,510)" to="(1530,510)"/>
<wire from="(1560,510)" to="(1570,510)"/>
<wire from="(40,50)" to="(70,50)"/>
<wire from="(980,1160)" to="(1000,1160)"/>
<wire from="(950,460)" to="(950,500)"/>
<wire from="(970,1760)" to="(970,1800)"/>
<wire from="(70,490)" to="(90,490)"/>
<wire from="(1540,1810)" to="(1550,1810)"/>
<wire from="(1580,1840)" to="(1600,1840)"/>
<wire from="(860,660)" to="(1570,660)"/>
<wire from="(670,2090)" to="(2210,2090)"/>
<wire from="(960,510)" to="(970,510)"/>
<wire from="(1590,1810)" to="(1750,1810)"/>
<wire from="(1030,1150)" to="(1500,1150)"/>
<wire from="(1020,1630)" to="(1020,1800)"/>
<comp loc="(1540,1810)" name="Head"/>
<comp loc="(1510,510)" name="Head"/>
<comp lib="5" loc="(1000,1810)" name="LED">
<a name="facing" val="south"/>
</comp>
<comp loc="(1630,1840)" name="Tail"/>
<comp loc="(2190,1840)" name="Tail"/>
<comp lib="0" loc="(40,50)" name="Clock"/>
<comp loc="(980,1150)" name="Head"/>
<comp loc="(1040,1810)" name="Tail"/>
<comp loc="(2140,1840)" name="Head"/>
<comp loc="(1590,1810)" name="Tail"/>
<comp lib="0" loc="(70,490)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="INIT"/>
</comp>
<comp lib="0" loc="(70,50)" name="Tunnel">
<a name="label" val="C"/>
</comp>
<comp loc="(1010,510)" name="Tail"/>
<comp lib="0" loc="(2120,1860)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp loc="(1030,1150)" name="Tail"/>
<comp loc="(960,510)" name="Head"/>
<comp loc="(920,460)" name="Head"/>
<comp lib="0" loc="(970,1850)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp lib="5" loc="(1520,510)" name="LED">
<a name="facing" val="south"/>
</comp>
<comp lib="0" loc="(1510,1170)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(1490,530)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp lib="5" loc="(2150,1840)" name="LED">
<a name="facing" val="south"/>
</comp>
<comp lib="0" loc="(930,1120)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(1520,1830)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp loc="(1560,510)" name="Tail"/>
<comp lib="0" loc="(840,40)" name="Pin">
<a name="tristate" val="false"/>
</comp>
<comp loc="(900,1690)" name="Head"/>
<comp loc="(1580,1150)" name="Tail"/>
<comp loc="(1530,1150)" name="Head"/>
<comp lib="5" loc="(1540,1150)" name="LED">
<a name="facing" val="south"/>
</comp>
<comp loc="(990,1810)" name="Head"/>
<comp lib="0" loc="(940,530)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp loc="(940,1750)" name="Head"/>
<comp lib="5" loc="(990,1150)" name="LED">
<a name="facing" val="south"/>
</comp>
<comp lib="5" loc="(1550,1810)" name="LED">
<a name="facing" val="south"/>
</comp>
<comp lib="5" loc="(970,510)" name="LED">
<a name="facing" val="south"/>
</comp>
<comp lib="0" loc="(960,1170)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(900,480)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp loc="(950,1100)" name="Head"/>
</circuit>
<circuit name="State">
<a name="circuit" val="State"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(440,380)" to="(440,450)"/>
<wire from="(340,400)" to="(720,400)"/>
<wire from="(340,330)" to="(340,400)"/>
<wire from="(630,150)" to="(630,420)"/>
<wire from="(340,260)" to="(710,260)"/>
<wire from="(480,100)" to="(660,100)"/>
<wire from="(630,420)" to="(670,420)"/>
<wire from="(390,280)" to="(390,300)"/>
<wire from="(540,350)" to="(540,440)"/>
<wire from="(720,400)" to="(720,430)"/>
<wire from="(660,100)" to="(660,310)"/>
<wire from="(540,330)" to="(540,350)"/>
<wire from="(400,350)" to="(490,350)"/>
<wire from="(310,650)" to="(400,650)"/>
<wire from="(710,320)" to="(730,320)"/>
<wire from="(700,430)" to="(720,430)"/>
<wire from="(400,350)" to="(400,650)"/>
<wire from="(390,280)" to="(540,280)"/>
<wire from="(480,150)" to="(630,150)"/>
<wire from="(430,310)" to="(440,310)"/>
<wire from="(440,360)" to="(450,360)"/>
<wire from="(390,300)" to="(400,300)"/>
<wire from="(440,380)" to="(450,380)"/>
<wire from="(480,370)" to="(490,370)"/>
<wire from="(310,320)" to="(320,320)"/>
<wire from="(380,320)" to="(390,320)"/>
<wire from="(340,310)" to="(350,310)"/>
<wire from="(340,330)" to="(350,330)"/>
<wire from="(440,310)" to="(440,360)"/>
<wire from="(710,260)" to="(710,320)"/>
<wire from="(540,330)" to="(670,330)"/>
<wire from="(540,440)" to="(670,440)"/>
<wire from="(340,260)" to="(340,310)"/>
<wire from="(320,320)" to="(320,370)"/>
<wire from="(540,280)" to="(540,330)"/>
<wire from="(310,450)" to="(440,450)"/>
<wire from="(320,370)" to="(450,370)"/>
<wire from="(700,320)" to="(710,320)"/>
<wire from="(660,310)" to="(670,310)"/>
<wire from="(720,430)" to="(730,430)"/>
<wire from="(530,350)" to="(540,350)"/>
<comp lib="1" loc="(700,320)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(310,650)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="CLOCK"/>
</comp>
<comp lib="0" loc="(730,320)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="TO_S3"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(630,490)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="EVT0_HAPPENED"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(480,370)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(730,430)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="TO_S4"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="4" loc="(530,350)" name="D Flip-Flop"/>
<comp lib="0" loc="(480,100)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="EVT0"/>
</comp>
<comp lib="0" loc="(480,150)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="EVT1"/>
</comp>
<comp lib="1" loc="(380,320)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(310,320)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="FROM_S0"/>
</comp>
<comp lib="0" loc="(630,550)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="EVT1_HAPPENED"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(310,450)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="FROM_S1"/>
</comp>
<comp lib="1" loc="(430,310)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="1" loc="(700,430)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
</circuit>
<circuit name="Tail">
<a name="circuit" val="Tail"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<appear>
<rect fill="none" height="30" stroke="#000000" stroke-width="2" width="30" x="50" y="50"/>
<circ-port height="8" pin="200,450" width="8" x="46" y="56"/>
<circ-port height="10" pin="200,510" width="10" x="45" y="65"/>
<circ-port height="10" pin="530,440" width="10" x="75" y="55"/>
<circ-port height="8" pin="400,70" width="8" x="56" y="46"/>
<circ-port height="8" pin="310,660" width="8" x="56" y="76"/>
<circ-port height="10" pin="360,660" width="10" x="65" y="75"/>
<circ-anchor facing="east" height="6" width="6" x="77" y="57"/>
</appear>
<wire from="(310,440)" to="(400,440)"/>
<wire from="(200,450)" to="(360,450)"/>
<wire from="(310,520)" to="(310,660)"/>
<wire from="(360,450)" to="(410,450)"/>
<wire from="(240,500)" to="(450,500)"/>
<wire from="(400,70)" to="(400,430)"/>
<wire from="(440,440)" to="(450,440)"/>
<wire from="(400,430)" to="(410,430)"/>
<wire from="(310,440)" to="(310,520)"/>
<wire from="(200,510)" to="(210,510)"/>
<wire from="(360,450)" to="(360,660)"/>
<wire from="(450,440)" to="(530,440)"/>
<wire from="(240,520)" to="(310,520)"/>
<wire from="(450,440)" to="(450,500)"/>
<comp lib="0" loc="(200,450)" name="Pin">
<a name="tristate" val="false"/>
<a name="pull" val="down"/>
<a name="label" val="STATE_IN"/>
</comp>
<comp lib="1" loc="(210,510)" name="OR Gate">
<a name="facing" val="west"/>
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(200,510)" name="Pin">
<a name="output" val="true"/>
<a name="label" val="RESET_OUT"/>
</comp>
<comp lib="1" loc="(440,440)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="0" loc="(310,660)" name="Pin">
<a name="facing" val="north"/>
<a name="tristate" val="false"/>
<a name="pull" val="down"/>
<a name="label" val="CASC_RESET"/>
</comp>
<comp lib="0" loc="(360,660)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="label" val="CASC_STATE"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(400,70)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="pull" val="down"/>
<a name="label" val="EVENT"/>
</comp>
<comp lib="0" loc="(530,440)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="OUT"/>
<a name="labelloc" val="east"/>
</comp>
</circuit>
<circuit name="Head">
<a name="circuit" val="Head"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<appear>
<rect fill="none" height="30" stroke="#000000" stroke-width="2" width="30" x="50" y="50"/>
<polyline fill="none" points="56,64 72,64" stroke="#000000"/>
<polyline fill="none" points="73,64 60,60" stroke="#000000"/>
<polyline fill="none" points="73,64 60,67" stroke="#000000"/>
<circ-port height="8" pin="70,190" width="8" x="46" y="56"/>
<circ-port height="10" pin="550,150" width="10" x="75" y="55"/>
<circ-port height="8" pin="550,220" width="8" x="76" y="66"/>
<circ-port height="8" pin="360,50" width="8" x="66" y="46"/>
<circ-port height="10" pin="440,50" width="10" x="55" y="45"/>
<circ-port height="8" pin="220,450" width="8" x="56" y="76"/>
<circ-port height="10" pin="380,440" width="10" x="65" y="75"/>
<circ-anchor facing="east" height="6" width="6" x="77" y="57"/>
</appear>
<wire from="(100,70)" to="(100,140)"/>
<wire from="(440,70)" to="(440,220)"/>
<wire from="(440,50)" to="(440,70)"/>
<wire from="(440,220)" to="(550,220)"/>
<wire from="(120,100)" to="(120,120)"/>
<wire from="(120,100)" to="(290,100)"/>
<wire from="(170,190)" to="(170,280)"/>
<wire from="(70,190)" to="(170,190)"/>
<wire from="(360,50)" to="(360,140)"/>
<wire from="(290,160)" to="(510,160)"/>
<wire from="(210,180)" to="(240,180)"/>
<wire from="(220,260)" to="(310,260)"/>
<wire from="(350,260)" to="(380,260)"/>
<wire from="(220,160)" to="(220,260)"/>
<wire from="(170,130)" to="(170,170)"/>
<wire from="(100,140)" to="(120,140)"/>
<wire from="(100,70)" to="(440,70)"/>
<wire from="(360,140)" to="(510,140)"/>
<wire from="(220,160)" to="(240,160)"/>
<wire from="(380,260)" to="(380,440)"/>
<wire from="(280,160)" to="(290,160)"/>
<wire from="(170,170)" to="(180,170)"/>
<wire from="(170,190)" to="(180,190)"/>
<wire from="(160,130)" to="(170,130)"/>
<wire from="(170,280)" to="(310,280)"/>
<wire from="(120,120)" to="(130,120)"/>
<wire from="(290,100)" to="(290,160)"/>
<wire from="(220,260)" to="(220,450)"/>
<wire from="(540,150)" to="(550,150)"/>
<comp lib="1" loc="(540,150)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(550,150)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="STATE_OUT"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(210,180)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(380,440)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="label" val="TX_HAPPENED"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(440,50)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="label" val="CASC_RESET"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(220,450)" name="Pin">
<a name="facing" val="north"/>
<a name="tristate" val="false"/>
<a name="label" val="CLOCK"/>
</comp>
<comp lib="4" loc="(350,260)" name="D Flip-Flop"/>
<comp lib="0" loc="(360,50)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="pull" val="down"/>
<a name="label" val="CASC_STATE"/>
</comp>
<comp lib="1" loc="(160,130)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="0" loc="(70,190)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="IN"/>
</comp>
<comp lib="0" loc="(550,220)" name="Pin">
<a name="facing" val="west"/>
<a name="tristate" val="false"/>
<a name="pull" val="down"/>
<a name="label" val="RESET_IN"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="4" loc="(280,160)" name="D Flip-Flop"/>
</circuit>
<circuit name="test">
<a name="circuit" val="test"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(740,1260)" to="(740,1270)"/>
<wire from="(740,1300)" to="(740,1310)"/>
<wire from="(670,800)" to="(670,1000)"/>
<wire from="(760,1100)" to="(810,1100)"/>
<wire from="(280,730)" to="(600,730)"/>
<wire from="(1050,770)" to="(1050,790)"/>
<wire from="(810,1100)" to="(810,1300)"/>
<wire from="(680,1280)" to="(730,1280)"/>
<wire from="(600,780)" to="(650,780)"/>
<wire from="(680,1280)" to="(680,1410)"/>
<wire from="(680,380)" to="(870,380)"/>
<wire from="(1850,910)" to="(1850,1060)"/>
<wire from="(1510,880)" to="(1740,880)"/>
<wire from="(750,1300)" to="(750,1370)"/>
<wire from="(1740,880)" to="(1740,900)"/>
<wire from="(780,1140)" to="(780,1270)"/>
<wire from="(870,470)" to="(870,600)"/>
<wire from="(1020,1060)" to="(1850,1060)"/>
<wire from="(1170,820)" to="(1420,820)"/>
<wire from="(1040,770)" to="(1040,840)"/>
<wire from="(870,380)" to="(870,470)"/>
<wire from="(780,1300)" to="(780,1320)"/>
<wire from="(1350,470)" to="(1350,740)"/>
<wire from="(1100,840)" to="(1100,850)"/>
<wire from="(1150,830)" to="(1150,840)"/>
<wire from="(1020,570)" to="(1840,570)"/>
<wire from="(750,1250)" to="(750,1270)"/>
<wire from="(700,600)" to="(700,770)"/>
<wire from="(110,70)" to="(140,70)"/>
<wire from="(710,1270)" to="(710,1310)"/>
<wire from="(550,1250)" to="(700,1250)"/>
<wire from="(1660,740)" to="(1660,800)"/>
<wire from="(1090,790)" to="(1100,790)"/>
<wire from="(1060,760)" to="(1070,760)"/>
<wire from="(720,780)" to="(1060,780)"/>
<wire from="(1120,820)" to="(1130,820)"/>
<wire from="(710,1310)" to="(740,1310)"/>
<wire from="(840,1310)" to="(840,1410)"/>
<wire from="(680,1410)" to="(840,1410)"/>
<wire from="(1160,810)" to="(1610,810)"/>
<wire from="(1840,570)" to="(1840,810)"/>
<wire from="(1720,920)" to="(1730,920)"/>
<wire from="(1420,380)" to="(1420,820)"/>
<wire from="(870,470)" to="(1350,470)"/>
<wire from="(1640,820)" to="(1650,820)"/>
<wire from="(970,380)" to="(1420,380)"/>
<wire from="(1040,840)" to="(1070,840)"/>
<wire from="(1350,740)" to="(1510,740)"/>
<wire from="(1020,810)" to="(1090,810)"/>
<wire from="(1020,810)" to="(1020,1060)"/>
<wire from="(800,1280)" to="(1190,1280)"/>
<wire from="(750,1370)" to="(1210,1370)"/>
<wire from="(1680,810)" to="(1840,810)"/>
<wire from="(1220,910)" to="(1690,910)"/>
<wire from="(790,1310)" to="(800,1310)"/>
<wire from="(760,1280)" to="(770,1280)"/>
<wire from="(830,1310)" to="(840,1310)"/>
<wire from="(680,780)" to="(690,780)"/>
<wire from="(1060,750)" to="(1080,750)"/>
<wire from="(1090,780)" to="(1110,780)"/>
<wire from="(1140,850)" to="(1160,850)"/>
<wire from="(1760,910)" to="(1850,910)"/>
<wire from="(970,790)" to="(1050,790)"/>
<wire from="(740,1310)" to="(740,1320)"/>
<wire from="(1080,750)" to="(1080,770)"/>
<wire from="(1110,780)" to="(1110,800)"/>
<wire from="(1140,830)" to="(1140,850)"/>
<wire from="(790,1300)" to="(790,1310)"/>
<wire from="(1220,840)" to="(1220,910)"/>
<wire from="(970,380)" to="(970,790)"/>
<wire from="(1170,820)" to="(1170,830)"/>
<wire from="(1140,600)" to="(1140,800)"/>
<wire from="(1070,760)" to="(1070,770)"/>
<wire from="(1100,790)" to="(1100,800)"/>
<wire from="(1100,830)" to="(1100,840)"/>
<wire from="(1510,740)" to="(1510,880)"/>
<wire from="(780,1320)" to="(800,1320)"/>
<wire from="(760,1140)" to="(780,1140)"/>
<wire from="(680,600)" to="(700,600)"/>
<wire from="(1150,840)" to="(1160,840)"/>
<wire from="(1120,810)" to="(1130,810)"/>
<wire from="(730,1250)" to="(750,1250)"/>
<wire from="(1720,910)" to="(1730,910)"/>
<wire from="(1640,810)" to="(1650,810)"/>
<wire from="(1190,840)" to="(1220,840)"/>
<wire from="(1070,840)" to="(1100,840)"/>
<wire from="(1070,800)" to="(1070,840)"/>
<wire from="(1020,750)" to="(1030,750)"/>
<wire from="(760,1290)" to="(770,1290)"/>
<wire from="(600,730)" to="(600,780)"/>
<wire from="(1020,570)" to="(1020,750)"/>
<wire from="(680,790)" to="(690,790)"/>
<wire from="(1510,740)" to="(1660,740)"/>
<wire from="(730,1260)" to="(740,1260)"/>
<wire from="(870,600)" to="(1140,600)"/>
<comp loc="(1760,910)" name="Tail"/>
<comp lib="0" loc="(550,1250)" name="Pin">
<a name="tristate" val="false"/>
</comp>
<comp loc="(760,1280)" name="Head"/>
<comp loc="(1640,810)" name="Head"/>
<comp lib="0" loc="(110,70)" name="Clock"/>
<comp lib="0" loc="(1100,850)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp loc="(830,1310)" name="Tail"/>
<comp lib="0" loc="(140,70)" name="Tunnel">
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(660,800)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp loc="(1190,840)" name="Tail"/>
<comp loc="(720,780)" name="Tail"/>
<comp lib="0" loc="(760,1140)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="E"/>
</comp>
<comp lib="0" loc="(740,1320)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(680,600)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="E"/>
</comp>
<comp loc="(1680,810)" name="Tail"/>
<comp loc="(1090,780)" name="Head"/>
<comp loc="(1120,810)" name="Head"/>
<comp loc="(1720,910)" name="Head"/>
<comp lib="0" loc="(1620,830)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp loc="(1160,810)" name="Tail"/>
<comp lib="0" loc="(680,380)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="D"/>
</comp>
<comp lib="0" loc="(760,1100)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="E"/>
</comp>
<comp lib="0" loc="(280,730)" name="Pin">
<a name="tristate" val="false"/>
</comp>
<comp loc="(800,1280)" name="Tail"/>
<comp lib="0" loc="(1700,930)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="C"/>
</comp>
<comp loc="(730,1250)" name="Head"/>
<comp loc="(680,780)" name="Head"/>
<comp loc="(1060,750)" name="Head"/>
</circuit>
<circuit name="sm">
<a name="circuit" val="sm"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(250,630)" to="(250,760)"/>
<wire from="(640,2540)" to="(640,2670)"/>
<wire from="(230,60)" to="(670,60)"/>
<wire from="(560,1500)" to="(560,1630)"/>
<wire from="(250,470)" to="(370,470)"/>
<wire from="(640,2670)" to="(640,2740)"/>
<wire from="(200,2740)" to="(320,2740)"/>
<wire from="(860,930)" to="(860,1250)"/>
<wire from="(560,1630)" to="(560,1700)"/>
<wire from="(70,1880)" to="(630,1880)"/>
<wire from="(240,620)" to="(240,770)"/>
<wire from="(270,2820)" to="(380,2820)"/>
<wire from="(210,2480)" to="(1270,2480)"/>
<wire from="(70,1690)" to="(430,1690)"/>
<wire from="(230,790)" to="(330,790)"/>
<wire from="(160,1620)" to="(190,1620)"/>
<wire from="(260,2530)" to="(260,2630)"/>
<wire from="(800,2760)" to="(800,3060)"/>
<wire from="(470,490)" to="(500,490)"/>
<wire from="(150,2800)" to="(240,2800)"/>
<wire from="(1020,2900)" to="(1030,2900)"/>
<wire from="(180,1490)" to="(180,1590)"/>
<wire from="(670,210)" to="(700,210)"/>
<wire from="(680,1100)" to="(710,1100)"/>
<wire from="(770,940)" to="(770,1170)"/>
<wire from="(420,1650)" to="(440,1650)"/>
<wire from="(430,790)" to="(440,790)"/>
<wire from="(250,2180)" to="(390,2180)"/>
<wire from="(1410,3030)" to="(1440,3030)"/>
<wire from="(240,2660)" to="(320,2660)"/>
<wire from="(810,1800)" to="(810,1920)"/>
<wire from="(230,610)" to="(230,790)"/>
<wire from="(940,1860)" to="(950,1860)"/>
<wire from="(560,2180)" to="(640,2180)"/>
<wire from="(380,2720)" to="(510,2720)"/>
<wire from="(620,220)" to="(620,270)"/>
<wire from="(170,1480)" to="(170,1600)"/>
<wire from="(800,2760)" to="(810,2760)"/>
<wire from="(720,1720)" to="(730,1720)"/>
<wire from="(250,2520)" to="(250,2640)"/>
<wire from="(720,1180)" to="(720,1360)"/>
<wire from="(70,1940)" to="(900,1940)"/>
<wire from="(200,2470)" to="(1410,2470)"/>
<wire from="(750,1620)" to="(1060,1620)"/>
<wire from="(80,2210)" to="(80,2220)"/>
<wire from="(240,70)" to="(550,70)"/>
<wire from="(260,2530)" to="(760,2530)"/>
<wire from="(250,760)" to="(370,760)"/>
<wire from="(240,2040)" to="(680,2040)"/>
<wire from="(250,960)" to="(560,960)"/>
<wire from="(180,1490)" to="(680,1490)"/>
<wire from="(140,3080)" to="(890,3080)"/>
<wire from="(420,1110)" to="(420,1130)"/>
<wire from="(240,2510)" to="(240,2660)"/>
<wire from="(160,1470)" to="(160,1620)"/>
<wire from="(930,1230)" to="(970,1230)"/>
<wire from="(210,2730)" to="(320,2730)"/>
<wire from="(360,2650)" to="(360,2680)"/>
<wire from="(430,1120)" to="(430,1150)"/>
<wire from="(380,2670)" to="(480,2670)"/>
<wire from="(670,60)" to="(670,210)"/>
<wire from="(680,950)" to="(680,1100)"/>
<wire from="(560,830)" to="(730,830)"/>
<wire from="(400,1100)" to="(440,1100)"/>
<wire from="(70,1670)" to="(420,1670)"/>
<wire from="(230,2030)" to="(770,2030)"/>
<wire from="(150,2860)" to="(180,2860)"/>
<wire from="(1010,2810)" to="(1600,2810)"/>
<wire from="(720,850)" to="(720,890)"/>
<wire from="(470,780)" to="(500,780)"/>
<wire from="(980,2910)" to="(990,2910)"/>
<wire from="(150,1460)" to="(150,1630)"/>
<wire from="(680,2190)" to="(710,2190)"/>
<wire from="(770,2030)" to="(770,2260)"/>
<wire from="(170,1480)" to="(770,1480)"/>
<wire from="(630,1650)" to="(630,1880)"/>
<wire from="(250,2520)" to="(850,2520)"/>
<wire from="(770,840)" to="(800,840)"/>
<wire from="(230,2500)" to="(230,2670)"/>
<wire from="(1360,3040)" to="(1360,3160)"/>
<wire from="(860,1250)" to="(890,1250)"/>
<wire from="(840,1150)" to="(970,1150)"/>
<wire from="(560,1240)" to="(820,1240)"/>
<wire from="(560,470)" to="(640,470)"/>
<wire from="(900,1870)" to="(910,1870)"/>
<wire from="(640,2930)" to="(640,2980)"/>
<wire from="(760,1170)" to="(770,1170)"/>
<wire from="(750,2680)" to="(760,2680)"/>
<wire from="(670,1640)" to="(680,1640)"/>
<wire from="(360,2680)" to="(430,2680)"/>
<wire from="(510,2680)" to="(520,2680)"/>
<wire from="(240,2510)" to="(940,2510)"/>
<wire from="(380,2540)" to="(380,2670)"/>
<wire from="(160,1470)" to="(860,1470)"/>
<wire from="(170,2830)" to="(170,2840)"/>
<wire from="(550,70)" to="(550,200)"/>
<wire from="(560,960)" to="(560,1090)"/>
<wire from="(240,330)" to="(680,330)"/>
<wire from="(430,2210)" to="(430,2220)"/>
<wire from="(250,2050)" to="(560,2050)"/>
<wire from="(560,1090)" to="(560,1160)"/>
<wire from="(630,2200)" to="(630,2290)"/>
<wire from="(1140,2490)" to="(1140,2940)"/>
<wire from="(180,2840)" to="(180,2860)"/>
<wire from="(70,1340)" to="(630,1340)"/>
<wire from="(920,2730)" to="(1600,2730)"/>
<wire from="(360,2700)" to="(360,2730)"/>
<wire from="(220,2720)" to="(320,2720)"/>
<wire from="(70,1150)" to="(430,1150)"/>
<wire from="(680,2040)" to="(680,2190)"/>
<wire from="(160,1080)" to="(190,1080)"/>
<wire from="(220,2490)" to="(220,2720)"/>
<wire from="(150,1460)" to="(950,1460)"/>
<wire from="(640,2980)" to="(640,3020)"/>
<wire from="(540,1630)" to="(560,1630)"/>
<wire from="(230,2500)" to="(1030,2500)"/>
<wire from="(620,2670)" to="(640,2670)"/>
<wire from="(1410,2470)" to="(1410,3030)"/>
<wire from="(680,480)" to="(710,480)"/>
<wire from="(420,1110)" to="(440,1110)"/>
<wire from="(230,1610)" to="(230,1650)"/>
<wire from="(180,950)" to="(180,1060)"/>
<wire from="(150,2840)" to="(170,2840)"/>
<wire from="(350,2650)" to="(360,2650)"/>
<wire from="(1140,2940)" to="(1170,2940)"/>
<wire from="(350,2730)" to="(360,2730)"/>
<wire from="(930,1770)" to="(1060,1770)"/>
<wire from="(810,1260)" to="(810,1380)"/>
<wire from="(70,2310)" to="(720,2310)"/>
<wire from="(1270,2990)" to="(1300,2990)"/>
<wire from="(560,760)" to="(640,760)"/>
<wire from="(930,2830)" to="(940,2830)"/>
<wire from="(760,2260)" to="(770,2260)"/>
<wire from="(710,2690)" to="(720,2690)"/>
<wire from="(850,1790)" to="(860,1790)"/>
<wire from="(630,1650)" to="(640,1650)"/>
<wire from="(720,1180)" to="(730,1180)"/>
<wire from="(210,2480)" to="(210,2730)"/>
<wire from="(890,2840)" to="(890,3080)"/>
<wire from="(170,940)" to="(170,1070)"/>
<wire from="(250,1500)" to="(250,1630)"/>
<wire from="(100,2240)" to="(160,2240)"/>
<wire from="(200,2470)" to="(200,2740)"/>
<wire from="(560,2050)" to="(560,2180)"/>
<wire from="(240,620)" to="(680,620)"/>
<wire from="(640,2820)" to="(640,2890)"/>
<wire from="(250,340)" to="(560,340)"/>
<wire from="(180,950)" to="(680,950)"/>
<wire from="(560,1780)" to="(560,1850)"/>
<wire from="(560,2180)" to="(560,2250)"/>
<wire from="(420,490)" to="(420,510)"/>
<wire from="(710,2690)" to="(710,3040)"/>
<wire from="(630,490)" to="(630,580)"/>
<wire from="(160,930)" to="(160,1080)"/>
<wire from="(190,2220)" to="(430,2220)"/>
<wire from="(230,2210)" to="(340,2210)"/>
<wire from="(430,500)" to="(430,530)"/>
<wire from="(70,800)" to="(430,800)"/>
<wire from="(560,1700)" to="(560,1780)"/>
<wire from="(640,2740)" to="(640,2820)"/>
<wire from="(680,330)" to="(680,480)"/>
<wire from="(400,480)" to="(440,480)"/>
<wire from="(70,1920)" to="(810,1920)"/>
<wire from="(750,2170)" to="(860,2170)"/>
<wire from="(230,610)" to="(770,610)"/>
<wire from="(1400,3030)" to="(1410,3030)"/>
<wire from="(40,50)" to="(70,50)"/>
<wire from="(70,1130)" to="(420,1130)"/>
<wire from="(380,2720)" to="(380,2820)"/>
<wire from="(680,770)" to="(710,770)"/>
<wire from="(230,220)" to="(380,220)"/>
<wire from="(840,1690)" to="(1060,1690)"/>
<wire from="(1210,2920)" to="(1600,2920)"/>
<wire from="(170,940)" to="(770,940)"/>
<wire from="(630,1110)" to="(630,1340)"/>
<wire from="(770,610)" to="(770,840)"/>
<wire from="(170,1070)" to="(190,1070)"/>
<wire from="(140,3060)" to="(800,3060)"/>
<wire from="(420,210)" to="(430,210)"/>
<wire from="(430,1660)" to="(440,1660)"/>
<wire from="(220,1610)" to="(230,1610)"/>
<wire from="(160,2810)" to="(240,2810)"/>
<wire from="(150,2820)" to="(160,2820)"/>
<wire from="(890,2840)" to="(900,2840)"/>
<wire from="(170,2830)" to="(240,2830)"/>
<wire from="(720,2270)" to="(730,2270)"/>
<wire from="(810,1800)" to="(820,1800)"/>
<wire from="(660,210)" to="(670,210)"/>
<wire from="(670,1100)" to="(680,1100)"/>
<wire from="(360,2700)" to="(430,2700)"/>
<wire from="(510,2700)" to="(520,2700)"/>
<wire from="(160,930)" to="(860,930)"/>
<wire from="(560,340)" to="(560,470)"/>
<wire from="(430,790)" to="(430,800)"/>
<wire from="(250,1630)" to="(370,1630)"/>
<wire from="(250,630)" to="(560,630)"/>
<wire from="(630,780)" to="(630,870)"/>
<wire from="(70,530)" to="(430,530)"/>
<wire from="(680,620)" to="(680,770)"/>
<wire from="(640,2740)" to="(810,2740)"/>
<wire from="(400,770)" to="(440,770)"/>
<wire from="(1100,2880)" to="(1600,2880)"/>
<wire from="(560,1700)" to="(730,1700)"/>
<wire from="(1220,3000)" to="(1220,3140)"/>
<wire from="(1360,3040)" to="(1370,3040)"/>
<wire from="(240,770)" to="(330,770)"/>
<wire from="(530,200)" to="(550,200)"/>
<wire from="(540,1090)" to="(560,1090)"/>
<wire from="(70,2200)" to="(160,2200)"/>
<wire from="(470,1650)" to="(500,1650)"/>
<wire from="(240,200)" to="(390,200)"/>
<wire from="(1340,2970)" to="(1600,2970)"/>
<wire from="(420,490)" to="(440,490)"/>
<wire from="(1270,2480)" to="(1270,2990)"/>
<wire from="(550,2690)" to="(580,2690)"/>
<wire from="(230,1070)" to="(230,1110)"/>
<wire from="(850,2750)" to="(880,2750)"/>
<wire from="(220,2490)" to="(1140,2490)"/>
<wire from="(770,1710)" to="(800,1710)"/>
<wire from="(170,1600)" to="(190,1600)"/>
<wire from="(180,1060)" to="(190,1060)"/>
<wire from="(70,890)" to="(720,890)"/>
<wire from="(140,3160)" to="(1360,3160)"/>
<wire from="(230,1650)" to="(360,1650)"/>
<wire from="(850,1250)" to="(860,1250)"/>
<wire from="(1090,2950)" to="(1090,3120)"/>
<wire from="(630,1110)" to="(640,1110)"/>
<wire from="(760,840)" to="(770,840)"/>
<wire from="(670,2190)" to="(680,2190)"/>
<wire from="(620,220)" to="(630,220)"/>
<wire from="(250,2640)" to="(320,2640)"/>
<wire from="(1030,2500)" to="(1030,2900)"/>
<wire from="(240,70)" to="(240,200)"/>
<wire from="(740,190)" to="(790,190)"/>
<wire from="(250,960)" to="(250,1090)"/>
<wire from="(1020,1840)" to="(1060,1840)"/>
<wire from="(160,2810)" to="(160,2820)"/>
<wire from="(560,630)" to="(560,760)"/>
<wire from="(560,760)" to="(560,830)"/>
<wire from="(70,2290)" to="(630,2290)"/>
<wire from="(750,750)" to="(860,750)"/>
<wire from="(560,1160)" to="(560,1240)"/>
<wire from="(70,1380)" to="(810,1380)"/>
<wire from="(950,1460)" to="(950,1860)"/>
<wire from="(70,2260)" to="(100,2260)"/>
<wire from="(840,2240)" to="(860,2240)"/>
<wire from="(1260,2990)" to="(1270,2990)"/>
<wire from="(230,60)" to="(230,220)"/>
<wire from="(70,510)" to="(420,510)"/>
<wire from="(640,2890)" to="(640,2930)"/>
<wire from="(1130,2940)" to="(1140,2940)"/>
<wire from="(540,2180)" to="(560,2180)"/>
<wire from="(950,1860)" to="(980,1860)"/>
<wire from="(430,1120)" to="(440,1120)"/>
<wire from="(1030,2900)" to="(1060,2900)"/>
<wire from="(70,1900)" to="(720,1900)"/>
<wire from="(220,1070)" to="(230,1070)"/>
<wire from="(180,1590)" to="(190,1590)"/>
<wire from="(560,1630)" to="(640,1630)"/>
<wire from="(810,1260)" to="(820,1260)"/>
<wire from="(640,2670)" to="(720,2670)"/>
<wire from="(380,2540)" to="(640,2540)"/>
<wire from="(670,480)" to="(680,480)"/>
<wire from="(630,2200)" to="(640,2200)"/>
<wire from="(720,850)" to="(730,850)"/>
<wire from="(260,2630)" to="(320,2630)"/>
<wire from="(140,3040)" to="(710,3040)"/>
<wire from="(250,2050)" to="(250,2180)"/>
<wire from="(900,1870)" to="(900,1940)"/>
<wire from="(90,2230)" to="(90,2240)"/>
<wire from="(250,1090)" to="(370,1090)"/>
<wire from="(860,1470)" to="(860,1790)"/>
<wire from="(140,3120)" to="(1090,3120)"/>
<wire from="(940,2510)" to="(940,2830)"/>
<wire from="(70,580)" to="(630,580)"/>
<wire from="(240,2040)" to="(240,2190)"/>
<wire from="(100,2240)" to="(100,2260)"/>
<wire from="(70,230)" to="(430,230)"/>
<wire from="(70,270)" to="(620,270)"/>
<wire from="(560,1160)" to="(730,1160)"/>
<wire from="(540,470)" to="(560,470)"/>
<wire from="(460,220)" to="(490,220)"/>
<wire from="(470,1110)" to="(500,1110)"/>
<wire from="(1220,3000)" to="(1230,3000)"/>
<wire from="(1090,2950)" to="(1100,2950)"/>
<wire from="(850,2520)" to="(850,2750)"/>
<wire from="(680,1640)" to="(710,1640)"/>
<wire from="(640,2890)" to="(990,2890)"/>
<wire from="(770,1480)" to="(770,1710)"/>
<wire from="(560,1850)" to="(910,1850)"/>
<wire from="(420,2190)" to="(440,2190)"/>
<wire from="(770,1170)" to="(800,1170)"/>
<wire from="(70,2240)" to="(90,2240)"/>
<wire from="(760,2680)" to="(790,2680)"/>
<wire from="(230,2030)" to="(230,2210)"/>
<wire from="(430,2210)" to="(440,2210)"/>
<wire from="(230,1110)" to="(360,1110)"/>
<wire from="(670,770)" to="(680,770)"/>
<wire from="(140,3100)" to="(980,3100)"/>
<wire from="(630,490)" to="(640,490)"/>
<wire from="(720,1720)" to="(720,1900)"/>
<wire from="(180,2840)" to="(240,2840)"/>
<wire from="(250,340)" to="(250,470)"/>
<wire from="(750,460)" to="(800,460)"/>
<wire from="(240,490)" to="(360,490)"/>
<wire from="(140,3140)" to="(1220,3140)"/>
<wire from="(250,1500)" to="(560,1500)"/>
<wire from="(70,870)" to="(630,870)"/>
<wire from="(420,1650)" to="(420,1670)"/>
<wire from="(240,2190)" to="(340,2190)"/>
<wire from="(430,1660)" to="(430,1690)"/>
<wire from="(760,2530)" to="(760,2680)"/>
<wire from="(150,1630)" to="(190,1630)"/>
<wire from="(680,1490)" to="(680,1640)"/>
<wire from="(510,2700)" to="(510,2720)"/>
<wire from="(400,1640)" to="(440,1640)"/>
<wire from="(560,2250)" to="(730,2250)"/>
<wire from="(1480,3010)" to="(1600,3010)"/>
<wire from="(840,820)" to="(860,820)"/>
<wire from="(230,2670)" to="(320,2670)"/>
<wire from="(240,330)" to="(240,490)"/>
<wire from="(540,760)" to="(560,760)"/>
<wire from="(720,2270)" to="(720,2310)"/>
<wire from="(470,2200)" to="(500,2200)"/>
<wire from="(940,2830)" to="(970,2830)"/>
<wire from="(640,3020)" to="(1370,3020)"/>
<wire from="(770,2260)" to="(800,2260)"/>
<wire from="(980,2910)" to="(980,3100)"/>
<wire from="(750,1080)" to="(970,1080)"/>
<wire from="(860,1790)" to="(890,1790)"/>
<wire from="(430,500)" to="(440,500)"/>
<wire from="(370,2200)" to="(380,2200)"/>
<wire from="(830,2660)" to="(1600,2660)"/>
<wire from="(80,2210)" to="(160,2210)"/>
<wire from="(70,1360)" to="(720,1360)"/>
<wire from="(70,2220)" to="(80,2220)"/>
<wire from="(560,1780)" to="(820,1780)"/>
<wire from="(640,2820)" to="(900,2820)"/>
<wire from="(550,200)" to="(630,200)"/>
<wire from="(90,2230)" to="(160,2230)"/>
<wire from="(840,2750)" to="(850,2750)"/>
<wire from="(560,1090)" to="(640,1090)"/>
<wire from="(640,2980)" to="(1230,2980)"/>
<wire from="(760,1710)" to="(770,1710)"/>
<wire from="(460,2690)" to="(470,2690)"/>
<wire from="(630,780)" to="(640,780)"/>
<wire from="(640,2930)" to="(1100,2930)"/>
<comp lib="0" loc="(710,1080)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="4" loc="(750,750)" name="D Flip-Flop"/>
<comp lib="0" loc="(1300,2970)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(350,2730)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="4" loc="(840,2240)" name="D Flip-Flop"/>
<comp lib="6" loc="(646,1598)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(1600,2730)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="4" loc="(750,2170)" name="D Flip-Flop"/>
<comp lib="0" loc="(140,3140)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event6"/>
</comp>
<comp lib="1" loc="(400,1100)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="4" loc="(620,2670)" name="D Flip-Flop"/>
<comp lib="1" loc="(220,1070)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(70,1340)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event1"/>
</comp>
<comp lib="4" loc="(930,1770)" name="D Flip-Flop"/>
<comp lib="1" loc="(660,210)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(70,800)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter1"/>
</comp>
<comp lib="0" loc="(800,2240)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="4" loc="(1010,2810)" name="D Flip-Flop"/>
<comp lib="0" loc="(1170,2920)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(760,1710)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(70,2310)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event2"/>
</comp>
<comp lib="0" loc="(70,1670)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter1"/>
</comp>
<comp lib="1" loc="(760,1170)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(1346,3034)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(500,2180)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="4" loc="(540,1090)" name="D Flip-Flop"/>
<comp lib="1" loc="(510,2680)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="6" loc="(614,2197)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(790,2660)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(70,1690)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter2"/>
</comp>
<comp lib="6" loc="(161,2184)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(70,1900)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event2"/>
</comp>
<comp lib="0" loc="(710,460)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(1600,2880)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit4"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(1130,2940)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(70,1920)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event3"/>
</comp>
<comp lib="1" loc="(670,480)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="4" loc="(750,460)" name="D Flip-Flop"/>
<comp lib="1" loc="(670,1100)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(470,490)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="6" loc="(706,2264)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(140,3160)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event7"/>
</comp>
<comp lib="4" loc="(750,1620)" name="D Flip-Flop"/>
<comp lib="4" loc="(750,1080)" name="D Flip-Flop"/>
<comp lib="1" loc="(670,1640)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(890,1770)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(940,1860)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(70,580)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event1"/>
</comp>
<comp lib="6" loc="(1204,2455)" name="Text">
<a name="text" val="up to 7 local between LUT4 and DFF"/>
</comp>
<comp lib="0" loc="(1060,1620)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit1"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(500,1090)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(1060,1690)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(150,2800)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter1"/>
</comp>
<comp lib="1" loc="(1260,2990)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(220,1610)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="4"/>
</comp>
<comp lib="6" loc="(646,438)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(70,2200)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter1"/>
</comp>
<comp lib="4" loc="(920,2730)" name="D Flip-Flop"/>
<comp lib="0" loc="(140,3040)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event1"/>
</comp>
<comp lib="0" loc="(860,2240)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(800,1690)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="6" loc="(1206,2994)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="6" loc="(333,2697)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="6" loc="(412,2152)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(70,2220)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter2"/>
</comp>
<comp lib="0" loc="(1600,2970)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit6"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="4" loc="(840,1690)" name="D Flip-Flop"/>
<comp lib="1" loc="(400,1640)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="6" loc="(706,1174)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="4" loc="(1210,2920)" name="D Flip-Flop"/>
<comp lib="4" loc="(740,190)" name="D Flip-Flop"/>
<comp lib="0" loc="(1600,2660)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit1"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="6" loc="(718,393)" name="Text">
<a name="text" val="up to 7 local"/>
</comp>
<comp lib="1" loc="(840,2750)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(1400,3030)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(718,2103)" name="Text">
<a name="text" val="up to 7 local"/>
</comp>
<comp lib="0" loc="(790,190)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit1"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(970,2810)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="4" loc="(830,2660)" name="D Flip-Flop"/>
<comp lib="1" loc="(760,840)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(800,1150)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(420,210)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="6" loc="(706,1714)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="4" loc="(1100,2880)" name="D Flip-Flop"/>
<comp lib="6" loc="(646,1058)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(710,2170)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(70,2290)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event1"/>
</comp>
<comp lib="6" loc="(636,168)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="4" loc="(540,1630)" name="D Flip-Flop"/>
<comp lib="0" loc="(700,190)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="6" loc="(412,1602)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="6" loc="(876,2834)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(70,870)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event1"/>
</comp>
<comp lib="0" loc="(70,530)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter2"/>
</comp>
<comp lib="6" loc="(205,1033)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(70,50)" name="Tunnel">
<a name="label" val="C"/>
</comp>
<comp lib="4" loc="(540,760)" name="D Flip-Flop"/>
<comp lib="0" loc="(70,890)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event2"/>
</comp>
<comp lib="6" loc="(708,123)" name="Text">
<a name="text" val="up to 7 local"/>
</comp>
<comp lib="1" loc="(400,770)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="1" loc="(460,220)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(786,2754)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(40,50)" name="Clock"/>
<comp lib="0" loc="(1600,3010)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit7"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(670,770)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(750,2680)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="4" loc="(540,470)" name="D Flip-Flop"/>
<comp lib="0" loc="(70,510)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter1"/>
</comp>
<comp lib="0" loc="(710,750)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="6" loc="(614,777)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="6" loc="(886,1864)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="1" loc="(420,2190)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="0" loc="(70,1880)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event1"/>
</comp>
<comp lib="0" loc="(860,820)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(670,2190)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(1600,2920)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit5"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(850,1250)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(360,780)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(370,2200)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(800,820)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(880,2730)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(150,2820)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter2"/>
</comp>
<comp lib="4" loc="(530,200)" name="D Flip-Flop"/>
<comp lib="0" loc="(970,1150)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(1060,2880)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(860,2170)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit1"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="6" loc="(966,2904)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="6" loc="(205,1573)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(70,1130)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter1"/>
</comp>
<comp lib="0" loc="(140,3060)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event2"/>
</comp>
<comp lib="4" loc="(1480,3010)" name="D Flip-Flop"/>
<comp lib="4" loc="(930,1230)" name="D Flip-Flop"/>
<comp lib="6" loc="(402,172)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="6" loc="(412,732)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="1" loc="(270,2820)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="4"/>
</comp>
<comp lib="0" loc="(710,1620)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(70,2260)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter4"/>
</comp>
<comp lib="0" loc="(800,460)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit1"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(970,1230)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit3"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(850,1790)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(776,920)" name="Text">
<a name="text" val="up to 7 local between LUT4 and DFF"/>
</comp>
<comp lib="6" loc="(412,442)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="6" loc="(787,1447)" name="Text">
<a name="text" val="up to 7 local between LUT4 and DFF"/>
</comp>
<comp lib="6" loc="(726,2638)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="1" loc="(470,2200)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(350,2650)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="4"/>
</comp>
<comp lib="0" loc="(1060,1840)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit4"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(550,2690)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(470,1110)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(500,760)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(760,2260)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(796,1254)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="6" loc="(412,1062)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(140,3120)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event5"/>
</comp>
<comp lib="4" loc="(840,820)" name="D Flip-Flop"/>
<comp lib="6" loc="(338,2620)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(70,270)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event1"/>
</comp>
<comp lib="6" loc="(1076,2944)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(500,1630)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(400,480)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
<a name="negate1" val="true"/>
</comp>
<comp lib="0" loc="(70,1360)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event2"/>
</comp>
<comp lib="0" loc="(150,2840)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter3"/>
</comp>
<comp lib="1" loc="(1020,2900)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(241,2784)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(490,200)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(140,3100)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event4"/>
</comp>
<comp lib="0" loc="(150,2860)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter4"/>
</comp>
<comp lib="0" loc="(970,1080)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit1"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(70,2240)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter3"/>
</comp>
<comp lib="0" loc="(500,470)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="6" loc="(706,844)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(1600,2810)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit3"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(1060,1770)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit3"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(1440,3010)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(580,2670)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(460,2690)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(70,1940)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event4"/>
</comp>
<comp lib="6" loc="(796,1794)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="0" loc="(140,3080)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event3"/>
</comp>
<comp lib="0" loc="(860,750)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="exit1"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="6" loc="(718,683)" name="Text">
<a name="text" val="up to 7 local"/>
</comp>
<comp lib="1" loc="(470,1650)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(980,1840)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(70,1380)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="event3"/>
</comp>
<comp lib="0" loc="(70,1150)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter2"/>
</comp>
<comp lib="1" loc="(470,780)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(890,1230)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(190,2220)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="4"/>
</comp>
<comp lib="6" loc="(441,2658)" name="Text">
<a name="text" val="LUT4"/>
</comp>
<comp lib="4" loc="(540,2180)" name="D Flip-Flop"/>
<comp lib="1" loc="(930,2830)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(70,230)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="enter1"/>
</comp>
<comp lib="4" loc="(1020,1840)" name="D Flip-Flop"/>
<comp lib="4" loc="(1340,2970)" name="D Flip-Flop"/>
<comp lib="4" loc="(840,1150)" name="D Flip-Flop"/>
</circuit>
</project>
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment