Skip to content

Instantly share code, notes, and snippets.

@bbpbuildbot
Created April 26, 2023 18:47
Show Gist options
  • Save bbpbuildbot/8e315f94c9ace915771c2e0b9cf8d10b to your computer and use it in GitHub Desktop.
Save bbpbuildbot/8e315f94c9ace915771c2e0b9cf8d10b to your computer and use it in GitHub Desktop.
Logfiles for GitLab pipeline https://bbpgitlab.epfl.ch/hpc/cellular/nrn/-/pipelines/118457 (:white_check_mark:) running on GitHub PR neuronsimulator/nrn#2344.
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1682530031:resolve_secrets Resolving secrets
section_end:1682530031:resolve_secrets section_start:1682530031:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor1718221992, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 118457, build ref 2e15478ea7527495aa989eaf5bebdcb6a9ba2a26, job ID 674218
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J674218_PROD_P2160_CP1_C2
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1430364
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J674218_PROD_P2160_CP1_C2 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --ntasks=2 --jobid=1430364 --cpus-per-task=8 --mem=76G
section_end:1682530033:prepare_executor section_start:1682530033:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n5 via bbpv1.epfl.ch...
section_end:1682530036:prepare_script section_start:1682530036:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1682530037:get_sources section_start:1682530037:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:mod2c:intel:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=250665 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1682530043:restore_cache section_start:1682530043:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for spack_setup (674215)...
Runtime platform  arch=amd64 os=linux pid=251109 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=674215 responseStatus=200 OK token=64_gQZPg
section_end:1682530044:download_artifacts section_start:1682530044:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674218/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674218/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674218/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674218/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674218/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674218/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457/J674218_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%intel ~rx3d~caliper~gpu+coreneuron~legacy-unit~nmodl~openmp+shared+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430364/ccache
Primary config: /nvme/bbpcihpcproj12/1430364/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 19:27:29 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (90.26 %)
Files: 17671
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%intel~caliper+coreneuron~gpu~legacy-unit~nmodl~openmp~rx3d+shared+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
Concretized
--------------------------------
- xyehqj5 neuron@develop%intel@2021.7.1+binary~caliper~codegenopt+coreneuron~gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi~nmodl~openmp+python+report~rx3d+shared~sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy patches=708cb04 sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- joqmehj ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] dxtrrtm ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] cek44ke ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] d7dkmvv ^py-flit-core@3.7.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] zbqiukk ^py-setuptools-scm@7.0.5%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] ihcuyox ^py-typing-extensions@4.3.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] qlx2hcg ^reportinglib@2.5.6%gcc@12.2.0~ipo~profile+shared~tests build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457, but it is owned by 0
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-cek44k
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/reportinglib-2.5.6-qlx2hc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-dxtrrt
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
==> Installing libsonata-report-develop-joqmehjuo73qkeoz5oufnnerxl3y6ick
==> No binary for libsonata-report-develop-joqmehjuo73qkeoz5oufnnerxl3y6ick found: installing from source
==> No patches needed for libsonata-report
==> libsonata-report: Executing phase: 'cmake'
==> libsonata-report: Executing phase: 'build'
==> libsonata-report: Executing phase: 'install'
==> libsonata-report: Successfully installed libsonata-report-develop-joqmehjuo73qkeoz5oufnnerxl3y6ick
Fetch: 2.36s. Build: 5.35s. Total: 7.71s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/libsonata-report-develop-joqmeh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-flit-core-3.7.1-d7dkmv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-typing-extensions-4.3.0-ihcuyo
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-scm-7.0.5-zbqiuk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
==> Installing neuron-develop-xyehqj5ncmcdmgrzxmfdvjbsmzwir57u
==> No binary for neuron-develop-xyehqj5ncmcdmgrzxmfdvjbsmzwir57u found: installing from source
==> Applied patch /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/bluebrain/repo-patches/packages/neuron/revert_Import3d_numerical_format.master.patch
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-xyehqj5ncmcdmgrzxmfdvjbsmzwir57u
Fetch: 38.97s. Build: 17m 50.04s. Total: 18m 29.01s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_intel-2021.7.1-skylake/neuron-develop-xyehqj
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be xyehqj5ncmcdmgrzxmfdvjbsmzwir57u
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430364/ccache
Primary config: /nvme/bbpcihpcproj12/1430364/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 19:48:06 2023
Hits: 883 / 983 (89.83 %)
Direct: 520 / 992 (52.42 %)
Preprocessed: 363 / 466 (77.90 %)
Misses: 100
Direct: 472
Preprocessed: 103
Uncacheable: 173
Primary storage:
Hits: 1752 / 1978 (88.57 %)
Misses: 226
Cache size (GB): 0.46 / 0.51 (89.34 %)
Files: 17414
Cleanups: 1
Uncacheable:
Autoconf compile/link: 7
Called for linking: 138
Called for preprocessing: 1
Compilation failed: 3
No input file: 18
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1682531289:step_script section_start:1682531289:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:mod2c:intel:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=283908 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Amod2c%3Aintel%3Ashared-1-non_protected
Created cache
section_end:1682531315:archive_cache section_start:1682531315:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=284545 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=674218 responseStatus=201 Created token=64_gQZPg
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=284593 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=674218 responseStatus=201 Created token=64_gQZPg
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=284631 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=674218 responseStatus=201 Created token=64_gQZPg
section_end:1682531317:upload_artifacts_on_success section_start:1682531317:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1682531318:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1682530032:resolve_secrets Resolving secrets
section_end:1682530032:resolve_secrets section_start:1682530032:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor4002882541, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 118457, build ref 2e15478ea7527495aa989eaf5bebdcb6a9ba2a26, job ID 674221
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J674221_PROD_P2160_CP2_C4
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1430365
job state: PD
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J674221_PROD_P2160_CP2_C4 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --ntasks=2 --jobid=1430365 --cpus-per-task=8 --mem=76G
section_end:1682530035:prepare_executor section_start:1682530035:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n5 via bbpv1.epfl.ch...
section_end:1682530039:prepare_script section_start:1682530039:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1682530040:get_sources section_start:1682530040:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:mod2c:nvhpc:acc:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=250890 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1682530046:restore_cache section_start:1682530046:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for spack_setup (674215)...
Runtime platform  arch=amd64 os=linux pid=252071 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=674215 responseStatus=200 OK token=64_YHwfT
section_end:1682530047:download_artifacts section_start:1682530047:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674221/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674221/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674221/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674221/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674221/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674221/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457/J674221_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d~caliper+gpu+coreneuron~legacy-unit~nmodl~openmp+shared+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430365/ccache
Primary config: /nvme/bbpcihpcproj12/1430365/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 19:27:32 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.47 / 0.51 (91.55 %)
Files: 25778
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc~caliper+coreneuron+gpu~legacy-unit~nmodl~openmp~rx3d+shared+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
Concretized
--------------------------------
- hifxqzm neuron@develop%nvhpc@23.1+binary~caliper~codegenopt+coreneuron+gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi~nmodl~openmp+python+report~rx3d+shared~sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy patches=708cb04 sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- joqmehj ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] dxtrrtm ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] cek44ke ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] d7dkmvv ^py-flit-core@3.7.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] zbqiukk ^py-setuptools-scm@7.0.5%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] ihcuyox ^py-typing-extensions@4.3.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] qlx2hcg ^reportinglib@2.5.6%gcc@12.2.0~ipo~profile+shared~tests build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-cek44k
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/reportinglib-2.5.6-qlx2hc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-dxtrrt
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-flit-core-3.7.1-d7dkmv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-typing-extensions-4.3.0-ihcuyo
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/libsonata-report-develop-joqmeh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-scm-7.0.5-zbqiuk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
==> Installing neuron-develop-hifxqzmog4bmg6bsloqo7kwm3k7ncn4b
==> No binary for neuron-develop-hifxqzmog4bmg6bsloqo7kwm3k7ncn4b found: installing from source
==> Applied patch /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/bluebrain/repo-patches/packages/neuron/revert_Import3d_numerical_format.master.patch
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-hifxqzmog4bmg6bsloqo7kwm3k7ncn4b
Fetch: 20.38s. Build: 24m 41.20s. Total: 25m 1.58s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_nvhpc-23.1-skylake/neuron-develop-hifxqz
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be hifxqzmog4bmg6bsloqo7kwm3k7ncn4b
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430365/ccache
Primary config: /nvme/bbpcihpcproj12/1430365/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 19:54:49 2023
Hits: 861 / 1060 (81.23 %)
Direct: 498 / 969 (51.39 %)
Preprocessed: 363 / 465 (78.06 %)
Misses: 199
Direct: 471
Preprocessed: 102
Uncacheable: 150
Primary storage:
Hits: 1708 / 1932 (88.41 %)
Misses: 224
Cache size (GB): 0.47 / 0.51 (92.05 %)
Files: 26085
Uncacheable:
Autoconf compile/link: 7
Called for linking: 124
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1682531693:step_script section_start:1682531693:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:mod2c:nvhpc:acc:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=7442 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Amod2c%3Anvhpc%3Aacc%3Ashared-1-non_protected
Created cache
section_end:1682531717:archive_cache section_start:1682531717:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=8433 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=674221 responseStatus=201 Created token=64_YHwfT
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=8473 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=674221 responseStatus=201 Created token=64_YHwfT
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=8520 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=674221 responseStatus=201 Created token=64_YHwfT
section_end:1682531719:upload_artifacts_on_success section_start:1682531719:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1682531720:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1682530346:resolve_secrets Resolving secrets
section_end:1682530346:resolve_secrets section_start:1682530346:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor1380468082, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 118457, build ref 2e15478ea7527495aa989eaf5bebdcb6a9ba2a26, job ID 674219
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J674219_PROD_P2160_CP0_C0
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1430383
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J674219_PROD_P2160_CP0_C0 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --ntasks=2 --jobid=1430383 --cpus-per-task=8 --mem=76G
section_end:1682530347:prepare_executor section_start:1682530347:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n5 via bbpv1.epfl.ch...
section_end:1682530351:prepare_script section_start:1682530351:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1682530353:get_sources section_start:1682530353:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:intel:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=261033 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1682530359:restore_cache section_start:1682530359:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (674217)...
Runtime platform  arch=amd64 os=linux pid=261679 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=674217 responseStatus=200 OK token=64_-xz8H
section_end:1682530360:download_artifacts section_start:1682530360:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674219/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674219/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674219/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674219/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674219/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674219/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457/J674219_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%intel ~rx3d~caliper~gpu+coreneuron~legacy-unit+nmodl~openmp~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^/dkcbg4h3xazskqqhocng7wm3nekj3l32
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430383/ccache
Primary config: /nvme/bbpcihpcproj12/1430383/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 19:32:44 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (89.88 %)
Files: 18843
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%intel~caliper+coreneuron~gpu~legacy-unit+nmodl~openmp~rx3d~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- 346rwn2 neuron@develop%intel@2021.7.1+binary~caliper~codegenopt+coreneuron~gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi+nmodl~openmp+python+report~rx3d~shared~sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy patches=708cb04 sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] joqmehj ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] dxtrrtm ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] dkcbg4h ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] wqbvd7o ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] cek44ke ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] blf35ks ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] qlx2hcg ^reportinglib@2.5.6%gcc@12.2.0~ipo~profile+shared~tests build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457, but it is owned by 0
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-cek44k
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-2.13.8-wqbvd7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.11.2-blf35k
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/reportinglib-2.5.6-qlx2hc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-dxtrrt
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/libsonata-report-develop-joqmeh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/nmodl-develop-dkcbg4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
==> Installing neuron-develop-346rwn2dxxpykr2qdrob5bunqnmn7sgh
==> No binary for neuron-develop-346rwn2dxxpykr2qdrob5bunqnmn7sgh found: installing from source
==> Applied patch /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/bluebrain/repo-patches/packages/neuron/revert_Import3d_numerical_format.master.patch
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-346rwn2dxxpykr2qdrob5bunqnmn7sgh
Fetch: 42.88s. Build: 42m 5.82s. Total: 42m 48.70s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_intel-2021.7.1-skylake/neuron-develop-346rwn
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be 346rwn2dxxpykr2qdrob5bunqnmn7sgh
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430383/ccache
Primary config: /nvme/bbpcihpcproj12/1430383/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 20:18:08 2023
Hits: 840 / 940 (89.36 %)
Direct: 310 / 949 (32.67 %)
Preprocessed: 530 / 633 (83.73 %)
Misses: 100
Direct: 639
Preprocessed: 103
Uncacheable: 140
Primary storage:
Hits: 1499 / 1892 (79.23 %)
Misses: 393
Cache size (GB): 0.46 / 0.51 (89.44 %)
Files: 18902
Cleanups: 1
Uncacheable:
Autoconf compile/link: 7
Called for linking: 115
Called for preprocessing: 1
Compilation failed: 3
No input file: 8
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1682533091:step_script section_start:1682533091:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:intel:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=33736 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Aintel%3Alegacy-1-non_protected
Created cache
section_end:1682533114:archive_cache section_start:1682533114:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=34351 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=674219 responseStatus=201 Created token=64_-xz8H
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=34394 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=674219 responseStatus=201 Created token=64_-xz8H
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=34444 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=674219 responseStatus=201 Created token=64_-xz8H
section_end:1682533115:upload_artifacts_on_success section_start:1682533115:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1682533117:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1682530346:resolve_secrets Resolving secrets
section_end:1682530346:resolve_secrets section_start:1682530346:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor67440427, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 118457, build ref 2e15478ea7527495aa989eaf5bebdcb6a9ba2a26, job ID 674220
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J674220_PROD_P2160_CP3_C1
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1430384
job state: PD
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J674220_PROD_P2160_CP3_C1 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --ntasks=2 --jobid=1430384 --cpus-per-task=8 --mem=76G
section_end:1682530350:prepare_executor section_start:1682530350:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n5 via bbpv1.epfl.ch...
section_end:1682530354:prepare_script section_start:1682530354:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1682530356:get_sources section_start:1682530356:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:intel:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=261473 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1682530362:restore_cache section_start:1682530362:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (674217)...
Runtime platform  arch=amd64 os=linux pid=262551 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=674217 responseStatus=200 OK token=64_8AZgR
section_end:1682530363:download_artifacts section_start:1682530363:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674220/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674220/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674220/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674220/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674220/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674220/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457/J674220_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%intel ~rx3d~caliper~gpu+coreneuron~legacy-unit+nmodl~openmp+shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^/dkcbg4h3xazskqqhocng7wm3nekj3l32
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430384/ccache
Primary config: /nvme/bbpcihpcproj12/1430384/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 19:32:48 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.47 / 0.51 (91.07 %)
Files: 18623
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%intel~caliper+coreneuron~gpu~legacy-unit+nmodl~openmp~rx3d+shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- h6bwrdr neuron@develop%intel@2021.7.1+binary~caliper~codegenopt+coreneuron~gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi+nmodl~openmp+python+report~rx3d+shared+sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy patches=708cb04 sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] joqmehj ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] dxtrrtm ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] dkcbg4h ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] wqbvd7o ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] cek44ke ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] blf35ks ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] qlx2hcg ^reportinglib@2.5.6%gcc@12.2.0~ipo~profile+shared~tests build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457, but it is owned by 0
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-cek44k
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-2.13.8-wqbvd7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.11.2-blf35k
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/reportinglib-2.5.6-qlx2hc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-dxtrrt
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/libsonata-report-develop-joqmeh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/nmodl-develop-dkcbg4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
==> Installing neuron-develop-h6bwrdrv4m5thcjcwcniatw3wubyszut
==> No binary for neuron-develop-h6bwrdrv4m5thcjcwcniatw3wubyszut found: installing from source
==> Applied patch /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/bluebrain/repo-patches/packages/neuron/revert_Import3d_numerical_format.master.patch
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-h6bwrdrv4m5thcjcwcniatw3wubyszut
Fetch: 45.05s. Build: 43m 18.30s. Total: 44m 3.35s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_intel-2021.7.1-skylake/neuron-develop-h6bwrd
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be h6bwrdrv4m5thcjcwcniatw3wubyszut
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430384/ccache
Primary config: /nvme/bbpcihpcproj12/1430384/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 20:19:22 2023
Hits: 840 / 940 (89.36 %)
Direct: 310 / 949 (32.67 %)
Preprocessed: 530 / 633 (83.73 %)
Misses: 100
Direct: 639
Preprocessed: 103
Uncacheable: 149
Primary storage:
Hits: 1499 / 1892 (79.23 %)
Misses: 393
Cache size (GB): 0.47 / 0.51 (91.90 %)
Files: 19004
Uncacheable:
Autoconf compile/link: 7
Called for linking: 124
Called for preprocessing: 1
Compilation failed: 3
No input file: 8
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1682533165:step_script section_start:1682533165:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:intel:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=37955 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Aintel%3Ashared-1-non_protected
Created cache
section_end:1682533186:archive_cache section_start:1682533186:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=38405 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=674220 responseStatus=201 Created token=64_8AZgR
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=38446 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=674220 responseStatus=201 Created token=64_8AZgR
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=38483 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=674220 responseStatus=201 Created token=64_8AZgR
section_end:1682533188:upload_artifacts_on_success section_start:1682533188:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1682533189:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1682530347:resolve_secrets Resolving secrets
section_end:1682530347:resolve_secrets section_start:1682530347:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor2353925920, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 118457, build ref 2e15478ea7527495aa989eaf5bebdcb6a9ba2a26, job ID 674222
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J674222_PROD_P2160_CP4_C8
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1430385
job state: PD
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J674222_PROD_P2160_CP4_C8 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --ntasks=2 --jobid=1430385 --cpus-per-task=8 --mem=76G
section_end:1682530350:prepare_executor section_start:1682530350:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n7 via bbpv1.epfl.ch...
section_end:1682530354:prepare_script section_start:1682530354:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1682530356:get_sources section_start:1682530356:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:nvhpc:acc:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=70596 revision=58ba2b95 version=14.2.0
Downloading cache.zip from https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aacc%3Alegacy-1-non_protected
Successfully extracted cache
section_end:1682530363:restore_cache section_start:1682530363:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (674217)...
Runtime platform  arch=amd64 os=linux pid=71907 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=674217 responseStatus=200 OK token=64_xxKBU
section_end:1682530365:download_artifacts section_start:1682530365:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674222/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674222/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674222/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674222/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674222/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674222/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457/J674222_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d~caliper+gpu+coreneuron~legacy-unit+nmodl~openmp~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^/dkcbg4h3xazskqqhocng7wm3nekj3l32
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430385/ccache
Primary config: /nvme/bbpcihpcproj12/1430385/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 19:32:49 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.47 / 0.51 (91.32 %)
Files: 22742
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc~caliper+coreneuron+gpu~legacy-unit+nmodl~openmp~rx3d~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- waf4jfx neuron@develop%nvhpc@23.1+binary~caliper~codegenopt+coreneuron+gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi+nmodl~openmp+python+report~rx3d~shared~sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy patches=708cb04 sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] joqmehj ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] dxtrrtm ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] dkcbg4h ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] wqbvd7o ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] cek44ke ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] blf35ks ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] qlx2hcg ^reportinglib@2.5.6%gcc@12.2.0~ipo~profile+shared~tests build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-cek44k
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-2.13.8-wqbvd7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.11.2-blf35k
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/reportinglib-2.5.6-qlx2hc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-dxtrrt
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/libsonata-report-develop-joqmeh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/nmodl-develop-dkcbg4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
==> Installing neuron-develop-waf4jfxay53vbqxvuh55xagsn326m5f4
==> No binary for neuron-develop-waf4jfxay53vbqxvuh55xagsn326m5f4 found: installing from source
==> Applied patch /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/bluebrain/repo-patches/packages/neuron/revert_Import3d_numerical_format.master.patch
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-waf4jfxay53vbqxvuh55xagsn326m5f4
Fetch: 20.91s. Build: 56m 4.66s. Total: 56m 25.57s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_nvhpc-23.1-skylake/neuron-develop-waf4jf
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be waf4jfxay53vbqxvuh55xagsn326m5f4
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430385/ccache
Primary config: /nvme/bbpcihpcproj12/1430385/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 20:31:41 2023
Hits: 840 / 977 (85.98 %)
Direct: 310 / 948 (32.70 %)
Preprocessed: 530 / 632 (83.86 %)
Misses: 137
Direct: 638
Preprocessed: 102
Uncacheable: 140
Primary storage:
Hits: 1499 / 1890 (79.31 %)
Misses: 391
Cache size (GB): 0.47 / 0.51 (91.12 %)
Files: 22851
Cleanups: 1
Uncacheable:
Autoconf compile/link: 7
Called for linking: 114
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1682533907:step_script section_start:1682533907:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:nvhpc:acc:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=131280 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aacc%3Alegacy-1-non_protected
Created cache
section_end:1682533928:archive_cache section_start:1682533928:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=131796 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=674222 responseStatus=201 Created token=64_xxKBU
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=131856 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=674222 responseStatus=201 Created token=64_xxKBU
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=131912 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=674222 responseStatus=201 Created token=64_xxKBU
section_end:1682533930:upload_artifacts_on_success section_start:1682533930:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1682533931:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1682530347:resolve_secrets Resolving secrets
section_end:1682530347:resolve_secrets section_start:1682530347:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor2465274403, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 118457, build ref 2e15478ea7527495aa989eaf5bebdcb6a9ba2a26, job ID 674223
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J674223_PROD_P2160_CP5_C9
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1430386
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J674223_PROD_P2160_CP5_C9 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --ntasks=2 --jobid=1430386 --cpus-per-task=8 --mem=76G
section_end:1682530350:prepare_executor section_start:1682530350:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n7 via bbpv1.epfl.ch...
section_end:1682530355:prepare_script section_start:1682530355:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1682530356:get_sources section_start:1682530356:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:nvhpc:acc:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=70536 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1682530362:restore_cache section_start:1682530362:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (674217)...
Runtime platform  arch=amd64 os=linux pid=71235 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=674217 responseStatus=200 OK token=64_KJTaa
section_end:1682530363:download_artifacts section_start:1682530363:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674223/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674223/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674223/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674223/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674223/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674223/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457/J674223_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d~caliper+gpu+coreneuron~legacy-unit+nmodl~openmp+shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^/dkcbg4h3xazskqqhocng7wm3nekj3l32
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430386/ccache
Primary config: /nvme/bbpcihpcproj12/1430386/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 19:32:47 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.45 / 0.51 (87.35 %)
Files: 22869
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc~caliper+coreneuron+gpu~legacy-unit+nmodl~openmp~rx3d+shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- qq4kqpj neuron@develop%nvhpc@23.1+binary~caliper~codegenopt+coreneuron+gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi+nmodl~openmp+python+report~rx3d+shared+sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy patches=708cb04 sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] joqmehj ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] dxtrrtm ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] dkcbg4h ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] wqbvd7o ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] cek44ke ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] blf35ks ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] qlx2hcg ^reportinglib@2.5.6%gcc@12.2.0~ipo~profile+shared~tests build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457, but it is owned by 0
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-cek44k
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-2.13.8-wqbvd7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.11.2-blf35k
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/reportinglib-2.5.6-qlx2hc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-dxtrrt
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/libsonata-report-develop-joqmeh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/nmodl-develop-dkcbg4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
==> Installing neuron-develop-qq4kqpjxxqzibyupohojvvb6nmjji73c
==> No binary for neuron-develop-qq4kqpjxxqzibyupohojvvb6nmjji73c found: installing from source
==> Applied patch /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/bluebrain/repo-patches/packages/neuron/revert_Import3d_numerical_format.master.patch
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-qq4kqpjxxqzibyupohojvvb6nmjji73c
Fetch: 22.81s. Build: 58m 48.46s. Total: 59m 11.27s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_nvhpc-23.1-skylake/neuron-develop-qq4kqp
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be qq4kqpjxxqzibyupohojvvb6nmjji73c
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430386/ccache
Primary config: /nvme/bbpcihpcproj12/1430386/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 20:34:16 2023
Hits: 840 / 1037 (81.00 %)
Direct: 310 / 948 (32.70 %)
Preprocessed: 530 / 632 (83.86 %)
Misses: 197
Direct: 638
Preprocessed: 102
Uncacheable: 149
Primary storage:
Hits: 1499 / 1890 (79.31 %)
Misses: 391
Cache size (GB): 0.45 / 0.51 (88.47 %)
Files: 23372
Uncacheable:
Autoconf compile/link: 7
Called for linking: 123
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1682534060:step_script section_start:1682534060:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:nvhpc:acc:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=137526 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aacc%3Ashared-1-non_protected
Created cache
section_end:1682534080:archive_cache section_start:1682534080:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=138092 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=674223 responseStatus=201 Created token=64_KJTaa
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=138153 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=674223 responseStatus=201 Created token=64_KJTaa
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=138205 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=674223 responseStatus=201 Created token=64_KJTaa
section_end:1682534082:upload_artifacts_on_success section_start:1682534082:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1682534083:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1682530348:resolve_secrets Resolving secrets
section_end:1682530348:resolve_secrets section_start:1682530348:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor2776135739, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 118457, build ref 2e15478ea7527495aa989eaf5bebdcb6a9ba2a26, job ID 674224
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J674224_PROD_P2160_CP6_C10
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1430387
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J674224_PROD_P2160_CP6_C10 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --ntasks=2 --jobid=1430387 --cpus-per-task=8 --mem=76G
section_end:1682530350:prepare_executor section_start:1682530350:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n7 via bbpv1.epfl.ch...
section_end:1682530353:prepare_script section_start:1682530353:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1682530354:get_sources section_start:1682530354:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:nvhpc:omp:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=70138 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1682530360:restore_cache section_start:1682530360:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (674217)...
Runtime platform  arch=amd64 os=linux pid=70793 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=674217 responseStatus=200 OK token=64_DqvKs
section_end:1682530361:download_artifacts section_start:1682530361:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674224/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674224/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674224/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674224/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674224/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674224/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457/J674224_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d+caliper+gpu+coreneuron~legacy-unit+nmodl+openmp~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^caliper+cuda cuda_arch=70 ^/dkcbg4h3xazskqqhocng7wm3nekj3l32
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430387/ccache
Primary config: /nvme/bbpcihpcproj12/1430387/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 19:32:46 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (90.20 %)
Files: 21917
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc+caliper+coreneuron+gpu~legacy-unit+nmodl+openmp~rx3d~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
- ^caliper+cuda cuda_arch=70
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- ryreofa neuron@develop%nvhpc@23.1+binary+caliper~codegenopt+coreneuron+gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi+nmodl+openmp+python+report~rx3d~shared~sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy patches=708cb04 sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] c3723hn ^caliper@2.8.0%gcc@12.2.0+adiak+cuda~fortran+gotcha~ipo+libdw~libpfm+libunwind+mpi+papi~rocm+sampler+shared~sosflow build_system=cmake build_type=RelWithDebInfo cuda_arch=70 arch=linux-rhel7-skylake
[^] pcfmpqe ^adiak@0.2.2%gcc@12.2.0~ipo+mpi+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 7yt5klx ^elfutils@0.188%gcc@12.2.0~bzip2~debuginfod+nls~xz~zstd build_system=autotools arch=linux-rhel7-skylake
[^] zttduab ^m4@1.4.16%gcc@12.2.0+sigsegv build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] ja6blio ^libunwind@1.6.2%gcc@12.2.0~block_signals~conservative_checks~cxx_exceptions~debug~debug_frame+docs~pic+tests+weak_backtrace~xz~zlib build_system=autotools components=none libs=shared,static arch=linux-rhel7-skylake
[^] 3gsobub ^papi@6.0.0.1%gcc@12.2.0~cuda+example~infiniband~lmsensors~nvml~powercap~rapl~rocm~rocm_smi~sde+shared~static_tools build_system=autotools arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] joqmehj ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] dxtrrtm ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] dkcbg4h ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] wqbvd7o ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] cek44ke ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] blf35ks ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] qlx2hcg ^reportinglib@2.5.6%gcc@12.2.0~ipo~profile+shared~tests build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
[+] /usr (external m4-1.4.16-zttduab7wfircnz7epbqj5ghrnfugdox)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libunwind-1.6.2-ja6bli
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/papi-6.0.0.1-3gsobu
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-cek44k
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-2.13.8-wqbvd7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.11.2-blf35k
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/reportinglib-2.5.6-qlx2hc
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/adiak-0.2.2-pcfmpq
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-dxtrrt
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/libsonata-report-develop-joqmeh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/elfutils-0.188-7yt5kl
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/caliper-2.8.0-c3723h
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/nmodl-develop-dkcbg4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
==> Installing neuron-develop-ryreofaqo57l6fnss7icljyhgqjtb73i
==> No binary for neuron-develop-ryreofaqo57l6fnss7icljyhgqjtb73i found: installing from source
==> Applied patch /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/bluebrain/repo-patches/packages/neuron/revert_Import3d_numerical_format.master.patch
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-ryreofaqo57l6fnss7icljyhgqjtb73i
Fetch: 21.46s. Build: 1h 4m 14.95s. Total: 1h 4m 36.41s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_nvhpc-23.1-skylake/neuron-develop-ryreof
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be ryreofaqo57l6fnss7icljyhgqjtb73i
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430387/ccache
Primary config: /nvme/bbpcihpcproj12/1430387/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 20:39:23 2023
Hits: 840 / 987 (85.11 %)
Direct: 310 / 950 (32.63 %)
Preprocessed: 530 / 634 (83.60 %)
Misses: 147
Direct: 640
Preprocessed: 104
Uncacheable: 142
Primary storage:
Hits: 1499 / 1894 (79.14 %)
Misses: 395
Cache size (GB): 0.46 / 0.51 (89.96 %)
Files: 22123
Cleanups: 1
Uncacheable:
Autoconf compile/link: 7
Called for linking: 116
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1682534364:step_script section_start:1682534364:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:nvhpc:omp:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=157182 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aomp%3Alegacy-1-non_protected
Created cache
section_end:1682534387:archive_cache section_start:1682534387:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=158036 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=674224 responseStatus=201 Created token=64_DqvKs
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=158128 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=674224 responseStatus=201 Created token=64_DqvKs
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=158292 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=674224 responseStatus=201 Created token=64_DqvKs
section_end:1682534389:upload_artifacts_on_success section_start:1682534389:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1682534390:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1682530348:resolve_secrets Resolving secrets
section_end:1682530348:resolve_secrets section_start:1682530348:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor1654838221, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 118457, build ref 2e15478ea7527495aa989eaf5bebdcb6a9ba2a26, job ID 674225
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J674225_PROD_P2160_CP7_C11
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1430388
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J674225_PROD_P2160_CP7_C11 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --ntasks=2 --jobid=1430388 --cpus-per-task=8 --mem=76G
section_end:1682530349:prepare_executor section_start:1682530349:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n7 via bbpv1.epfl.ch...
section_end:1682530356:prepare_script section_start:1682530356:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1682530357:get_sources section_start:1682530357:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:nvhpc:omp-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=70688 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1682530363:restore_cache section_start:1682530363:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (674217)...
Runtime platform  arch=amd64 os=linux pid=71570 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=674217 responseStatus=200 OK token=64_KaVC6
section_end:1682530364:download_artifacts section_start:1682530364:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674225/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674225/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674225/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674225/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674225/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674225/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457/J674225_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d+caliper+gpu+coreneuron~legacy-unit+nmodl+openmp~shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^caliper+cuda cuda_arch=70 ^/dkcbg4h3xazskqqhocng7wm3nekj3l32
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430388/ccache
Primary config: /nvme/bbpcihpcproj12/1430388/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 19:32:49 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (89.83 %)
Files: 21311
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc+caliper+coreneuron+gpu~legacy-unit+nmodl+openmp~rx3d~shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
- ^caliper+cuda cuda_arch=70
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- b5462bl neuron@develop%nvhpc@23.1+binary+caliper~codegenopt+coreneuron+gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi+nmodl+openmp+python+report~rx3d~shared+sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy patches=708cb04 sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] c3723hn ^caliper@2.8.0%gcc@12.2.0+adiak+cuda~fortran+gotcha~ipo+libdw~libpfm+libunwind+mpi+papi~rocm+sampler+shared~sosflow build_system=cmake build_type=RelWithDebInfo cuda_arch=70 arch=linux-rhel7-skylake
[^] pcfmpqe ^adiak@0.2.2%gcc@12.2.0~ipo+mpi+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 7yt5klx ^elfutils@0.188%gcc@12.2.0~bzip2~debuginfod+nls~xz~zstd build_system=autotools arch=linux-rhel7-skylake
[^] zttduab ^m4@1.4.16%gcc@12.2.0+sigsegv build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] ja6blio ^libunwind@1.6.2%gcc@12.2.0~block_signals~conservative_checks~cxx_exceptions~debug~debug_frame+docs~pic+tests+weak_backtrace~xz~zlib build_system=autotools components=none libs=shared,static arch=linux-rhel7-skylake
[^] 3gsobub ^papi@6.0.0.1%gcc@12.2.0~cuda+example~infiniband~lmsensors~nvml~powercap~rapl~rocm~rocm_smi~sde+shared~static_tools build_system=autotools arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] joqmehj ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] dxtrrtm ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] dkcbg4h ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] wqbvd7o ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] cek44ke ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] blf35ks ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] qlx2hcg ^reportinglib@2.5.6%gcc@12.2.0~ipo~profile+shared~tests build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457, but it is owned by 0
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
[+] /usr (external m4-1.4.16-zttduab7wfircnz7epbqj5ghrnfugdox)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libunwind-1.6.2-ja6bli
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/papi-6.0.0.1-3gsobu
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-cek44k
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-2.13.8-wqbvd7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.11.2-blf35k
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/adiak-0.2.2-pcfmpq
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/reportinglib-2.5.6-qlx2hc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-dxtrrt
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/libsonata-report-develop-joqmeh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/elfutils-0.188-7yt5kl
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/caliper-2.8.0-c3723h
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/nmodl-develop-dkcbg4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
==> Installing neuron-develop-b5462blb6nj66yspthfgu3a45g2akal2
==> No binary for neuron-develop-b5462blb6nj66yspthfgu3a45g2akal2 found: installing from source
==> Applied patch /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/bluebrain/repo-patches/packages/neuron/revert_Import3d_numerical_format.master.patch
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-b5462blb6nj66yspthfgu3a45g2akal2
Fetch: 22.55s. Build: 1h 4m 14.75s. Total: 1h 4m 37.30s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_nvhpc-23.1-skylake/neuron-develop-b5462b
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be b5462blb6nj66yspthfgu3a45g2akal2
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430388/ccache
Primary config: /nvme/bbpcihpcproj12/1430388/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 20:39:23 2023
Hits: 840 / 987 (85.11 %)
Direct: 310 / 950 (32.63 %)
Preprocessed: 530 / 634 (83.60 %)
Misses: 147
Direct: 640
Preprocessed: 104
Uncacheable: 142
Primary storage:
Hits: 1499 / 1894 (79.14 %)
Misses: 395
Cache size (GB): 0.46 / 0.51 (89.63 %)
Files: 21529
Cleanups: 1
Uncacheable:
Autoconf compile/link: 7
Called for linking: 116
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1682534364:step_script section_start:1682534364:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:nvhpc:omp-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=157105 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aomp-1-non_protected
Created cache
section_end:1682534385:archive_cache section_start:1682534385:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=157769 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=674225 responseStatus=201 Created token=64_KaVC6
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=157809 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=674225 responseStatus=201 Created token=64_KaVC6
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=157851 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=674225 responseStatus=201 Created token=64_KaVC6
section_end:1682534387:upload_artifacts_on_success section_start:1682534387:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1682534388:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1682530031:resolve_secrets Resolving secrets
section_end:1682530031:resolve_secrets section_start:1682530031:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor188350477, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 118457, build ref 2e15478ea7527495aa989eaf5bebdcb6a9ba2a26, job ID 674217
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J674217_PROD_P2160_CP0_C0
Job parameters: memory=76G, cpus_per_task=8, duration=1:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1430363
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J674217_PROD_P2160_CP0_C0 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --ntasks=2 --jobid=1430363 --cpus-per-task=8 --mem=76G
section_end:1682530032:prepare_executor section_start:1682530032:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n5 via bbpv1.epfl.ch...
section_end:1682530036:prepare_script section_start:1682530036:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1682530037:get_sources section_start:1682530037:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:nmodl-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=250596 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1682530043:restore_cache section_start:1682530043:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for spack_setup (674215)...
Runtime platform  arch=amd64 os=linux pid=251006 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=674215 responseStatus=200 OK token=64_cT6zB
section_end:1682530044:download_artifacts section_start:1682530044:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674217/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674217/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674217/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674217/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674217/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674217/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457/J674217_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install nmodl%gcc ~legacy-unit
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430363/ccache
Primary config: /nvme/bbpcihpcproj12/1430363/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 19:27:28 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.45 / 0.51 (88.22 %)
Files: 909
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- nmodl%gcc~legacy-unit
Concretized
--------------------------------
- dkcbg4h nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] wqbvd7o ^catch2@2.13.8%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] cek44ke ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] blf35ks ^nlohmann-json@3.11.2%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] d7dkmvv ^py-flit-core@3.7.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] zbqiukk ^py-setuptools-scm@7.0.5%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] ihcuyox ^py-typing-extensions@4.3.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] dxtrrtm ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.11.2-blf35k
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-cek44k
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-2.13.8-wqbvd7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-dxtrrt
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-flit-core-3.7.1-d7dkmv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-typing-extensions-4.3.0-ihcuyo
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-scm-7.0.5-zbqiuk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
==> Installing nmodl-develop-dkcbg4h3xazskqqhocng7wm3nekj3l32
==> No binary for nmodl-develop-dkcbg4h3xazskqqhocng7wm3nekj3l32 found: installing from source
==> No patches needed for nmodl
==> nmodl: Executing phase: 'cmake'
==> nmodl: Executing phase: 'build'
==> nmodl: Executing phase: 'install'
==> nmodl: Successfully installed nmodl-develop-dkcbg4h3xazskqqhocng7wm3nekj3l32
Fetch: 47.50s. Build: 2m 26.14s. Total: 3m 13.65s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/software/install_gcc-12.2.0-skylake/nmodl-develop-dkcbg4
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be dkcbg4h3xazskqqhocng7wm3nekj3l32
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1430363/ccache
Primary config: /nvme/bbpcihpcproj12/1430363/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Wed Apr 26 19:31:50 2023
Hits: 130 / 132 (98.48 %)
Direct: 28 / 132 (21.21 %)
Preprocessed: 102 / 104 (98.08 %)
Misses: 2
Direct: 104
Preprocessed: 2
Uncacheable: 26
Primary storage:
Hits: 158 / 264 (59.85 %)
Misses: 106
Cache size (GB): 0.45 / 0.51 (88.25 %)
Files: 913
Uncacheable:
Called for linking: 25
No input file: 1
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1682530311:step_script section_start:1682530311:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:nmodl-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=258085 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Anmodl-1-non_protected
Created cache
section_end:1682530338:archive_cache section_start:1682530338:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=259445 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=674217 responseStatus=201 Created token=64_cT6zB
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=259497 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=674217 responseStatus=201 Created token=64_cT6zB
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=259540 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=674217 responseStatus=201 Created token=64_cT6zB
section_end:1682530341:upload_artifacts_on_success section_start:1682530341:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1682530342:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.4.0 (43b2dc3d)
 on macos-arm64 vGzz-bdp
section_start:1682529930:resolve_secrets Resolving secrets
section_end:1682529930:resolve_secrets section_start:1682529930:prepare_executor Preparing the "shell" executor
Using Shell executor...
section_end:1682529930:prepare_executor section_start:1682529930:prepare_script Preparing environment
Running on bbpmacglr01.bbp.epfl.ch...
section_end:1682529930:prepare_script section_start:1682529930:get_sources Getting source from Git repository
Fetching changes with git depth set to 20...
Initialized empty Git repository in /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/.git/
Created fresh repository.
Checking out 2e15478e as ramcdougal-patch-2...
Skipping Git submodules setup
section_end:1682529932:get_sources section_start:1682529932:restore_cache Restoring cache
Checking cache for mac_m1_cmake_build: [cmake, ON, OFF, OFF, address]-ramcdougal-patch-2-1-non_protected...
Runtime platform  arch=arm64 os=darwin pid=31575 revision=43b2dc3d version=15.4.0
No URL provided, cache will not be downloaded from shared cache server. Instead a local version of cache will be extracted.
Successfully extracted cache
section_end:1682529936:restore_cache section_start:1682529936:step_script Executing "step_script" stage of the job script
$ cat > resolve_shim.py << END_SCRIPT # collapsed multi-line command
$ real_python=$(python3 resolve_shim.py)
$ echo "python3=$(command -v python3) is really ${real_python}"
python3=/opt/homebrew/bin/python3 is really /opt/homebrew/Cellar/python@3.10/3.10.8/Frameworks/Python.framework/Versions/3.10/Resources/Python.app/Contents/MacOS/Python
$ PYTHONEXECUTABLE=${real_python} ${real_python} -mvenv venv
$ venv/bin/pip install --upgrade pip -r nrn_requirements.txt
Requirement already satisfied: pip in ./venv/lib/python3.10/site-packages (22.2.2)
Collecting pip
Using cached pip-23.1.2-py3-none-any.whl (2.1 MB)
Collecting wheel
Using cached wheel-0.40.0-py3-none-any.whl (64 kB)
Requirement already satisfied: setuptools in ./venv/lib/python3.10/site-packages (from -r nrn_requirements.txt (line 2)) (65.4.1)
Collecting setuptools
Using cached setuptools-67.7.2-py3-none-any.whl (1.1 MB)
Collecting setuptools_scm
Using cached setuptools_scm-7.1.0-py3-none-any.whl (43 kB)
Collecting scikit-build
Using cached scikit_build-0.17.2-py3-none-any.whl (82 kB)
Collecting matplotlib
Using cached matplotlib-3.7.1-cp310-cp310-macosx_11_0_arm64.whl (7.3 MB)
Collecting bokeh<3
Using cached bokeh-2.4.3-py3-none-any.whl (18.5 MB)
Collecting ipython
Using cached ipython-8.12.0-py3-none-any.whl (796 kB)
Collecting cython
Using cached Cython-0.29.34-py2.py3-none-any.whl (988 kB)
Collecting packaging
Using cached packaging-23.1-py3-none-any.whl (48 kB)
Collecting pytest
Using cached pytest-7.3.1-py3-none-any.whl (320 kB)
Collecting pytest-cov
Using cached pytest_cov-4.0.0-py3-none-any.whl (21 kB)
Collecting mpi4py
Using cached mpi4py-3.1.4-cp310-cp310-macosx_12_0_arm64.whl
Collecting numpy
Using cached numpy-1.24.3-cp310-cp310-macosx_11_0_arm64.whl (13.9 MB)
Collecting tomli>=1.0.0
Using cached tomli-2.0.1-py3-none-any.whl (12 kB)
Collecting typing-extensions
Using cached typing_extensions-4.5.0-py3-none-any.whl (27 kB)
Collecting distro
Using cached distro-1.8.0-py3-none-any.whl (20 kB)
Collecting kiwisolver>=1.0.1
Using cached kiwisolver-1.4.4-cp310-cp310-macosx_11_0_arm64.whl (63 kB)
Collecting cycler>=0.10
Using cached cycler-0.11.0-py3-none-any.whl (6.4 kB)
Collecting contourpy>=1.0.1
Using cached contourpy-1.0.7-cp310-cp310-macosx_11_0_arm64.whl (229 kB)
Collecting pillow>=6.2.0
Using cached Pillow-9.5.0-cp310-cp310-macosx_11_0_arm64.whl (3.1 MB)
Collecting pyparsing>=2.3.1
Using cached pyparsing-3.0.9-py3-none-any.whl (98 kB)
Collecting fonttools>=4.22.0
Using cached fonttools-4.39.3-py3-none-any.whl (1.0 MB)
Collecting python-dateutil>=2.7
Using cached python_dateutil-2.8.2-py2.py3-none-any.whl (247 kB)
Collecting tornado>=5.1
Using cached tornado-6.3.1-cp38-abi3-macosx_10_9_universal2.whl (424 kB)
Collecting PyYAML>=3.10
Using cached PyYAML-6.0-cp310-cp310-macosx_11_0_arm64.whl (173 kB)
Collecting Jinja2>=2.9
Using cached Jinja2-3.1.2-py3-none-any.whl (133 kB)
Collecting traitlets>=5
Using cached traitlets-5.9.0-py3-none-any.whl (117 kB)
Collecting pickleshare
Using cached pickleshare-0.7.5-py2.py3-none-any.whl (6.9 kB)
Collecting pexpect>4.3
Using cached pexpect-4.8.0-py2.py3-none-any.whl (59 kB)
Collecting matplotlib-inline
Using cached matplotlib_inline-0.1.6-py3-none-any.whl (9.4 kB)
Collecting appnope
Using cached appnope-0.1.3-py2.py3-none-any.whl (4.4 kB)
Collecting backcall
Using cached backcall-0.2.0-py2.py3-none-any.whl (11 kB)
Collecting prompt-toolkit!=3.0.37,<3.1.0,>=3.0.30
Using cached prompt_toolkit-3.0.38-py3-none-any.whl (385 kB)
Collecting pygments>=2.4.0
Using cached Pygments-2.15.1-py3-none-any.whl (1.1 MB)
Collecting stack-data
Using cached stack_data-0.6.2-py3-none-any.whl (24 kB)
Collecting decorator
Using cached decorator-5.1.1-py3-none-any.whl (9.1 kB)
Collecting jedi>=0.16
Using cached jedi-0.18.2-py2.py3-none-any.whl (1.6 MB)
Collecting iniconfig
Using cached iniconfig-2.0.0-py3-none-any.whl (5.9 kB)
Collecting pluggy<2.0,>=0.12
Using cached pluggy-1.0.0-py2.py3-none-any.whl (13 kB)
Collecting exceptiongroup>=1.0.0rc8
Using cached exceptiongroup-1.1.1-py3-none-any.whl (14 kB)
Collecting coverage[toml]>=5.2.1
Using cached coverage-7.2.3-cp310-cp310-macosx_11_0_arm64.whl (200 kB)
Collecting parso<0.9.0,>=0.8.0
Using cached parso-0.8.3-py2.py3-none-any.whl (100 kB)
Collecting MarkupSafe>=2.0
Using cached MarkupSafe-2.1.2-cp310-cp310-macosx_10_9_universal2.whl (17 kB)
Collecting ptyprocess>=0.5
Using cached ptyprocess-0.7.0-py2.py3-none-any.whl (13 kB)
Collecting wcwidth
Using cached wcwidth-0.2.6-py2.py3-none-any.whl (29 kB)
Collecting six>=1.5
Using cached six-1.16.0-py2.py3-none-any.whl (11 kB)
Collecting executing>=1.2.0
Using cached executing-1.2.0-py2.py3-none-any.whl (24 kB)
Collecting pure-eval
Using cached pure_eval-0.2.2-py3-none-any.whl (11 kB)
Collecting asttokens>=2.1.0
Using cached asttokens-2.2.1-py2.py3-none-any.whl (26 kB)
Installing collected packages: wcwidth, pure-eval, ptyprocess, pickleshare, executing, backcall, appnope, wheel, typing-extensions, traitlets, tornado, tomli, six, setuptools, PyYAML, pyparsing, pygments, prompt-toolkit, pluggy, pip, pillow, pexpect, parso, packaging, numpy, mpi4py, MarkupSafe, kiwisolver, iniconfig, fonttools, exceptiongroup, distro, decorator, cython, cycler, coverage, setuptools_scm, scikit-build, python-dateutil, pytest, matplotlib-inline, Jinja2, jedi, contourpy, asttokens, stack-data, pytest-cov, matplotlib, bokeh, ipython
Attempting uninstall: setuptools
Found existing installation: setuptools 65.4.1
Uninstalling setuptools-65.4.1:
Successfully uninstalled setuptools-65.4.1
Attempting uninstall: pip
Found existing installation: pip 22.2.2
Uninstalling pip-22.2.2:
Successfully uninstalled pip-22.2.2
Successfully installed Jinja2-3.1.2 MarkupSafe-2.1.2 PyYAML-6.0 appnope-0.1.3 asttokens-2.2.1 backcall-0.2.0 bokeh-2.4.3 contourpy-1.0.7 coverage-7.2.3 cycler-0.11.0 cython-0.29.34 decorator-5.1.1 distro-1.8.0 exceptiongroup-1.1.1 executing-1.2.0 fonttools-4.39.3 iniconfig-2.0.0 ipython-8.12.0 jedi-0.18.2 kiwisolver-1.4.4 matplotlib-3.7.1 matplotlib-inline-0.1.6 mpi4py-3.1.4 numpy-1.24.3 packaging-23.1 parso-0.8.3 pexpect-4.8.0 pickleshare-0.7.5 pillow-9.5.0 pip-23.1.2 pluggy-1.0.0 prompt-toolkit-3.0.38 ptyprocess-0.7.0 pure-eval-0.2.2 pygments-2.15.1 pyparsing-3.0.9 pytest-7.3.1 pytest-cov-4.0.0 python-dateutil-2.8.2 scikit-build-0.17.2 setuptools-67.7.2 setuptools_scm-7.1.0 six-1.16.0 stack-data-0.6.2 tomli-2.0.1 tornado-6.3.1 traitlets-5.9.0 typing-extensions-4.5.0 wcwidth-0.2.6 wheel-0.40.0
$ export PYTHON=${PWD}/venv/bin/python
$ ${PYTHON} --version
Python 3.10.8
$ ${PYTHON} -c "import os,matplotlib; f = open(os.path.join(os.path.dirname(matplotlib.__file__), \"mpl-data/matplotlibrc\"),\"a\"); f.write(\"backend: TkAgg\");f.close();"
$ export CXX=${CXX:-g++}
$ export CC=${CC:-gcc}
$ export INSTALL_DIR=$(pwd)/install
$ echo $LANG
$ echo $LC_ALL
$ ${PYTHON} -c 'import os,sys; os.set_blocking(sys.stdout.fileno(), True)'
$ cmake_args=(-G Ninja)
$ if [[ -n "${sanitizer}" ]]; then
$ cmake_args+=(-DCMAKE_BUILD_TYPE=Custom -DCMAKE_C_FLAGS="-O1 -g" -DCMAKE_CXX_FLAGS="-O1 -g" -DNRN_SANITIZERS=$(echo ${sanitizer} | sed -e 's/-/,/g'))
$ fi
$ cmake_args+=(-DCMAKE_C_COMPILER="${CC}" -DCMAKE_C_COMPILER_LAUNCHER=ccache -DCMAKE_CXX_COMPILER="${CXX}" -DCMAKE_CXX_COMPILER_LAUNCHER=ccache -DCMAKE_INSTALL_PREFIX="${INSTALL_DIR}" -DNRN_ENABLE_TESTS=ON -DNRN_ENABLE_PERFORMANCE_TESTS=OFF -DNRN_ENABLE_CORENEURON=${cmake_coreneuron} -DNRN_ENABLE_INTERVIEWS=${cmake_interviews} -DNRN_ENABLE_RX3D=${cmake_rx3d})
$ cmake_args+=(-DPYTHON_EXECUTABLE="${PYTHON}")
$ mkdir build && cd build
$ echo "Building with ${cmake_args[@]}"
Building with -G Ninja -DCMAKE_BUILD_TYPE=Custom -DCMAKE_C_FLAGS=-O1 -g -DCMAKE_CXX_FLAGS=-O1 -g -DNRN_SANITIZERS=address -DCMAKE_C_COMPILER=gcc -DCMAKE_C_COMPILER_LAUNCHER=ccache -DCMAKE_CXX_COMPILER=g++ -DCMAKE_CXX_COMPILER_LAUNCHER=ccache -DCMAKE_INSTALL_PREFIX=/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install -DNRN_ENABLE_TESTS=ON -DNRN_ENABLE_PERFORMANCE_TESTS=OFF -DNRN_ENABLE_CORENEURON=ON -DNRN_ENABLE_INTERVIEWS=OFF -DNRN_ENABLE_RX3D=OFF -DPYTHON_EXECUTABLE=/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/venv/bin/python
$ cmake .. "${cmake_args[@]}"
-- The C compiler identification is AppleClang 14.0.0.14000029
-- The CXX compiler identification is AppleClang 14.0.0.14000029
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Check for working C compiler: /usr/bin/gcc - skipped
-- Detecting C compile features
-- Detecting C compile features - done
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Check for working CXX compiler: /usr/bin/g++ - skipped
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- git describe failed (stdout: '', stderr: 'fatal: No names found, cannot describe anything.', code: 128), skipping the version check
-- Sub-module : missing external/coding-conventions : running git submodule update --init
Submodule 'external/coding-conventions' (https://github.com/BlueBrain/hpc-coding-conventions.git) registered for path 'external/coding-conventions'
Cloning into '/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/external/coding-conventions'...
Submodule path 'external/coding-conventions': checked out 'f8f8d69a66c23978d1c9c5dce62de79466f26e5d'
-- Fetching git submodule external/Random123: running git submodule update --init;--recursive;--depth;1 -- external/Random123
Submodule 'external/Random123' (https://github.com/BlueBrain/Random123.git) registered for path 'external/Random123'
Cloning into '/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/external/Random123'...
From https://github.com/BlueBrain/Random123
* branch b5cb15614e8f3eb0ff316bdc50c300ddd32fa3c1 -> FETCH_HEAD
Submodule path 'external/Random123': checked out 'b5cb15614e8f3eb0ff316bdc50c300ddd32fa3c1'
-- 3rd party project: using Random123 from "external/Random123"
-- Enabling sanitizers: address
-- Sanitizer runtime library: /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin/libclang_rt.asan_osx_dynamic.dylib
-- Checking if /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/venv/bin/python is a working python
-- Found BISON: /usr/bin/bison (found version "2.3")
-- Found FLEX: /usr/bin/flex (found suitable version "2.6.4", minimum required is "2.6")
-- Found Readline: /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/include
-- Found MPI_C: /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib (found version "3.1")
-- Found MPI_CXX: /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib (found version "3.1")
-- Found MPI: TRUE (found version "3.1")
-- Detected OpenMPI 4.1.4
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success
-- Found Threads: TRUE
-- Building CoreNEURON
-- Fetching git submodule external/CLI11: running git submodule update --init;--recursive;--depth;1 -- external/CLI11
Submodule 'external/CLI11' (https://github.com/CLIUtils/CLI11.git) registered for path 'external/CLI11'
Cloning into '/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/external/CLI11'...
From https://github.com/CLIUtils/CLI11
* branch 291c58789c031208f08f4f261a858b5b7083e8e2 -> FETCH_HEAD
Submodule path 'external/CLI11': checked out '291c58789c031208f08f4f261a858b5b7083e8e2'
-- 3rd party project: using CLI11 from "external/CLI11"
-- Found Git: /usr/bin/git (found version "2.37.0 (Apple Git-136)")
-- Found Perl: /usr/bin/perl (found version "5.30.3")
-- Sub-module : missing /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/external/mod2c : running git submodule update --init
Submodule 'external/mod2c' (https://github.com/BlueBrain/mod2c) registered for path 'external/mod2c'
Cloning into '/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/external/mod2c'...
Submodule path 'external/mod2c': checked out '5d79c6cacf40be1456b2f26cdfe1cb515a5e9e8d'
-- mod2c is used as APPLICATION_NAME
-- Found FLEX: /usr/bin/flex (found version "2.6.4")
-- Boost found, unit tests enabled
--
-- CoreNEURON is enabled with following build configuration:
-- --------------------+--------------------------------------------------------
-- Build option | Status
-- --------------------+--------------------------------------------------------
-- CXX COMPILER | /usr/bin/g++
-- COMPILE FLAGS | -O1 -g -std=c++17 -DCORENEURON_BUILD -DNRNMPI=1 -DMPI_NO_CPPBIND=1 -DOMPI_SKIP_MPICXX=1 -DMPICH_SKIP_MPICXX=1 -DLAYOUT=0 -DDISABLE_HOC_EXP -DENABLE_SPLAYTREE_QUEUING -DCORENEURON_USE_LEGACY_UNITS=0 -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope
-- Build Type | SHARED
-- MPI | ON
-- DYNAMIC | OFF
-- INC | /opt/homebrew/Cellar/open-mpi/4.1.4_2/include
-- OpenMP | ON
-- Use legacy units | OFF
-- NMODL | OFF
-- MOD2CPP PATH | /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/bin/mod2c_core
-- GPU Support | OFF
-- Auto Timeout | ON
-- Wrap exp() | OFF
-- SplayTree Queue | ON
-- NetReceive Buffer | ON
-- Caliper | OFF
-- Likwid | OFF
-- Unit Tests | ON
-- Reporting | OFF
-- --------------+--------------------------------------------------------------
--
Extracting link flags from target 'Threads::Threads', beware that this can be fragile. Got:
Generating link flags from path /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib Got: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib
Generating link flags from path /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib Got: /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
-- Sub-module : missing /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/external/catch2 : running git submodule update --init
Submodule 'external/catch2' (https://github.com/catchorg/Catch2.git) registered for path 'external/catch2'
Cloning into '/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/external/catch2'...
Submodule path 'external/catch2': checked out 'c4e3767e265808590986d5db6ca1b5532a7f3d13'
-- Found Python module 'pytest' with version(s): 7.3.1
-- Found Python module 'pytest_cov' with version(s): 4.0.0
-- Fetching git submodule test/rxd/testdata: running git submodule update --init;--recursive;--depth;1 -- test/rxd/testdata
Submodule 'test/rxd/testdata' (https://github.com/neuronsimulator/rxdtestdata) registered for path 'test/rxd/testdata'
Cloning into '/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/test/rxd/testdata'...
From https://github.com/neuronsimulator/rxdtestdata
* branch be297655abf0f98be95a051576d43aad23cebbf0 -> FETCH_HEAD
Submodule path 'test/rxd/testdata': checked out 'be297655abf0f98be95a051576d43aad23cebbf0'
-- 3rd party project: using rxd/testdata from "test/rxd/testdata"
-- Found Python module 'mpi4py' with version(s): 3.1.4
-- Disabling coreneuron_modtests::fornetcon_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::direct_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::direct_hoc_gpu: gpu not enabled
-- Disabling coreneuron_modtests::spikes_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::spikes_file_mode_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::fast_imem_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::datareturn_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_units_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_netmove_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_pointer_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_watchrange_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_psolve_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_ba_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_natrans_py_gpu: gpu not enabled
-- Found Python module 'mpi4py' with version(s): 3.1.4
-- Disabling coreneuron_modtests::spikes_mpi_file_mode_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::inputpresyn_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_subworlds_py_gpu: gpu not enabled
-- Disabling nmodl_tests_coreneuron::test_table_coreneuron_gpu: gpu not enabled
-- Disabling nmodl_tests_coreneuron::test_disc_coreneuron_gpu: gpu not enabled
-- Disabling nmodl_tests_coreneuron::test_function_table_coreneuron_gpu: gpu not enabled
-- Disabling nmodl_tests_coreneuron::test_kinetic_coreneuron_gpu: gpu not enabled
-- Disabling reduced_dentate::coreneuron_gpu: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_offline_saverestore: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_python: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_offline: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_threads: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_threads_python: gpu not enabled
-- Disabling testcorenrn_bbcore::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_bbcore::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_bbcore::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_conc::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_conc::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_conc::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_deriv::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_deriv::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_deriv::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_gf::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_gf::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_gf::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_kin::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_kin::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_kin::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_patstim::coreneuron_gpu_offline_saverestore: gpu not enabled
-- Disabling testcorenrn_patstim::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_vecplay::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_vecplay::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_vecplay::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_vecevent::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_vecevent::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_vecevent::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_watch::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_watch::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_watch::coreneuron_gpu_offline: gpu not enabled
-- Could NOT find OpenSSL, try to set the path to OpenSSL root folder in the system variable OPENSSL_ROOT_DIR (missing: OPENSSL_CRYPTO_LIBRARY OPENSSL_INCLUDE_DIR)
-- Skipping tqperf test as OpenSSL package not found
--
-- Configured NEURON 9.0.0
--
-- You can now build NEURON using:
-- cmake --build . --parallel 8 [--target TARGET]
-- You might want to adjust the number of parallel build jobs for your system.
-- Some non-default targets you might want to build:
-- --------------+--------------------------------------------------------------
-- Target | Description
-- --------------+--------------------------------------------------------------
-- install | Will install NEURON to: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install
-- | Change the install location of NEURON using:
-- | cmake <src_path> -DCMAKE_INSTALL_PREFIX=<install_path>
-- docs | Build full docs. Calls targets: doxygen, notebooks, sphinx, notebooks-clean
-- uninstall | Removes files installed by make install (todo)
-- --------------+--------------------------------------------------------------
-- Build option | Status
-- --------------+--------------------------------------------------------------
-- C COMPILER | /usr/bin/gcc
-- CXX COMPILER | /usr/bin/g++
-- BUILD_TYPE | Custom (allowed: Custom;Debug;Release;RelWithDebInfo;Fast)
-- COMPILE FLAGS | -O1 -g -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope
-- Shared | ON
-- Default units | modern units (2019 nist constants)
-- MPI | ON
-- DYNAMIC | OFF
-- INC | /opt/homebrew/Cellar/open-mpi/4.1.4_2/include
-- LIB | /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib
-- Python | ON
-- DYNAMIC | OFF
-- MODULE | ON
-- python3.10 (default)
-- EXE | /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/venv/bin/python
-- INC | /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/include/python3.10
-- LIB | /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib
-- Readline | /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/lib/libreadline.tbd
-- Curses | /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/lib/libcurses.tbd;/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/lib/libform.tbd
-- RX3D | OFF
-- Interviews | OFF
-- CoreNEURON | ON
-- PATH | /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/coreneuron
-- LINK FLAGS | -lcorenrnmech -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib
-- Legacy Units| OFF
-- Tests | ON
-- --------------+--------------------------------------------------------------
-- See documentation : https://www.neuron.yale.edu/neuron/
-- --------------+--------------------------------------------------------------
--
-- Configuring done
-- Generating done
-- Build files have been written to: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build
$ ccache -z
Statistics zeroed
$ ccache -vs 2>/dev/null
Cache directory: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/ccache
Config file: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/ccache/ccache.conf
System config file: /opt/homebrew/Cellar/ccache/4.7.2/etc/ccache.conf
Stats updated: Wed Apr 26 19:26:16 2023
Local storage:
Cache size (GB): 0.35 / 5.00 ( 6.90%)
Files: 5463
Hits: 0
Misses: 0
Reads: 0
Writes: 0
$ cmake --build . --parallel
[0/2] Re-checking globbed directories...
[1/1557] Generating share/nrn/demo/d3init.hoc
[2/1557] Generating share/nrn/demo/demo.hoc
[3/1557] Generating share/nrn/demo/demo.ses
[4/1557] Generating share/nrn/demo/cycle.hoc
[5/1557] Generating share/nrn/demo/dend3.ses
[6/1557] Generating share/nrn/demo/dend3/control.hoc
[7/1557] Generating share/nrn/demo/dend3/dend3.nrn
[8/1557] Generating share/nrn/demo/dend3/syn3.hoc
[9/1557] Generating share/nrn/demo/dynclamp.hoc
[10/1557] Generating share/nrn/demo/dynchan.ses
[11/1557] Generating share/nrn/demo/dynclamp.ses
[12/1557] Generating share/nrn/demo/hh.hoc
[13/1557] Generating share/nrn/demo/hh.ses
[14/1557] Generating share/nrn/demo/nomodel.hoc
[15/1557] Generating share/nrn/demo/pyramid.nrn
[16/1557] Generating share/nrn/demo/pyramid.ses
[17/1557] Generating share/nrn/demo/reconst.hoc
[18/1557] Generating share/nrn/demo/release.hoc
[19/1557] Update hh.mod for CoreNEURON compatibility
[20/1557] Generating share/nrn/demo/release.ses
[21/1557] Generating share/nrn/demo/release/cabpump.mod
[22/1557] Generating share/nrn/demo/release/cachan1.mod
[23/1557] Generating share/nrn/demo/release/camchan.mod
[24/1557] Generating share/nrn/demo/release/capump.mod
[25/1557] Generating share/nrn/demo/release/khhchan.mod
[26/1557] Generating share/nrn/demo/release/invlfire.mod
[27/1557] Generating share/nrn/demo/release/mcna.mod
[28/1557] Generating share/nrn/demo/singhh.ses
[29/1557] Generating share/nrn/demo/release/nachan.mod
[30/1557] Generating share/nrn/demo/singhh.hoc
[31/1557] Generating share/nrn/demo/release/nacaex.mod
[32/1557] Generating share/nrn/demo/release/release.mod
[33/1557] Generating share/nrn/demo/sync/demosync.hoc
[34/1557] Generating share/nrn/demo/singhhchan.hoc
[35/1557] Generating share/nrn/demo/sync/spkplot.hoc
[36/1557] Generating share/nrn/demo/sync/cell.hoc
[37/1557] Generating share/nrn/demo/sync/init.hoc
[38/1557] Generating share/nrn/lib/auditscripts/gettarname
[39/1557] Generating share/nrn/demo/sync/start.ses
[40/1557] Generating share/nrn/demo/sync/synchronize.hoc
[41/1557] Generating share/nrn/demo/testca.hoc
[42/1557] Generating share/nrn/lib/auditscripts/hocaudit.sh
[43/1557] Generating share/nrn/lib/auditscripts/notes
[44/1557] Generating share/nrn/lib/auditscripts/retrieve.sh
[45/1557] Generating share/nrn/lib/auditscripts/saveaudit
[46/1557] Generating share/nrn/lib/helpdict
[47/1557] Generating share/nrn/lib/cleanup
[48/1557] Generating share/nrn/lib/hoc/attshape.hoc
[49/1557] Generating share/nrn/lib/hoc/binfo.hoc
[50/1557] Generating share/nrn/lib/hoc/celbild/celgeom.hoc
[51/1557] Generating share/nrn/lib/hoc/atoltool.hoc
[52/1557] Generating share/nrn/lib/hoc/celbild.hoc
[53/1557] Generating share/nrn/lib/hoc/celbild/celbild1.hoc
[54/1557] Generating share/nrn/lib/hoc/celbild/celmang.hoc
[55/1557] Generating share/nrn/lib/hoc/celbild/celset.hoc
[56/1557] Generating share/nrn/lib/hoc/celbild/celmemb.hoc
[57/1557] Generating share/nrn/lib/hoc/celbild/celtopol.hoc
[58/1557] Generating share/nrn/lib/hoc/celbild/inhomofn.hoc
[59/1557] Generating share/nrn/lib/hoc/celbild/psubset.hoc
[60/1557] Generating share/nrn/lib/hoc/chanbild.hoc
[61/1557] Generating share/nrn/lib/hoc/chanbild/const.hoc
[62/1557] Generating share/nrn/lib/hoc/chanbild/exp.hoc
[63/1557] Generating share/nrn/lib/hoc/chanbild/chanbild1.hoc
[64/1557] Generating share/nrn/lib/hoc/chanbild/ksgate.hoc
[65/1557] Generating share/nrn/lib/hoc/chanbild/gategui.hoc
[66/1557] Generating share/nrn/lib/hoc/chanbild/kstrans.hoc
[67/1557] Generating share/nrn/lib/hoc/chanbild/kschanbg.hoc
[68/1557] Generating share/nrn/lib/hoc/chanbild/kstgui.hoc
[69/1557] Generating share/nrn/lib/hoc/chanbild/ksstate.hoc
[70/1557] Generating share/nrn/lib/hoc/chanbild/linoid.hoc
[71/1557] Generating share/nrn/lib/hoc/chanbild/properties.hoc
[72/1557] Generating share/nrn/lib/hoc/chanbild/sigmoid.hoc
[73/1557] Generating share/nrn/lib/hoc/chanbild/table.hoc
[74/1557] Generating share/nrn/lib/hoc/family.hoc
[75/1557] Generating share/nrn/lib/hoc/corenrn.hoc
[76/1557] Generating share/nrn/lib/hoc/electrod.hoc
[77/1557] Generating share/nrn/lib/hoc/funfit.hoc
[78/1557] Generating share/nrn/lib/hoc/gatherv.hoc
[79/1557] Generating share/nrn/lib/hoc/import3d.hoc
[80/1557] Generating share/nrn/lib/hoc/grapher.hoc
[81/1557] Generating share/nrn/lib/hoc/impedanc.hoc
[82/1557] Generating share/nrn/lib/hoc/import3d/import3d_gui.hoc
[83/1557] Generating share/nrn/lib/hoc/impedanx.hoc
[84/1557] Generating share/nrn/lib/hoc/import3d/import3d_sec.hoc
[85/1557] Generating share/nrn/lib/hoc/import3d/read_nlcda.hoc
[86/1557] Generating share/nrn/lib/hoc/import3d/read_nlcda3.hoc
[87/1557] Generating share/nrn/lib/hoc/import3d/read_morphml.hoc
[88/1557] Generating share/nrn/lib/hoc/import3d/read_nts.hoc
[89/1557] Generating share/nrn/lib/hoc/import3d/read_swc.hoc
[90/1557] Generating share/nrn/lib/hoc/impratio.hoc
[91/1557] Generating share/nrn/lib/hoc/inserter.hoc
[92/1557] Generating share/nrn/lib/hoc/lincir/lincir1.hoc
[93/1557] Generating share/nrn/lib/hoc/lincir/lingraph.hoc
[94/1557] Generating share/nrn/lib/hoc/lincir.hoc
[95/1557] Generating share/nrn/lib/hoc/lincir/linmech.hoc
[96/1557] Generating share/nrn/lib/hoc/lincir/elmbase.hoc
[97/1557] Generating share/nrn/lib/hoc/loadbal.hoc
[98/1557] Generating share/nrn/lib/hoc/logax.hoc
[99/1557] Generating share/nrn/lib/hoc/macload.hoc
[100/1557] Generating share/nrn/lib/hoc/mknrndll.hoc
[101/1557] Generating share/nrn/lib/hoc/movierun.hoc
[102/1557] Generating share/nrn/lib/hoc/mkcurve.hoc
[103/1557] Generating share/nrn/lib/hoc/mulfit.hoc
[104/1557] Generating share/nrn/lib/hoc/mulfit/clampfit.hoc
[105/1557] Generating share/nrn/lib/hoc/mulfit/e_norm.hoc
[106/1557] Generating share/nrn/lib/hoc/mulfit/e_xy.hoc
[107/1557] Generating share/nrn/lib/hoc/modlunit.hoc
[108/1557] Generating share/nrn/lib/hoc/mulfit/e_actpot.hoc
[109/1557] Generating share/nrn/lib/hoc/mulfit/e_y.hoc
[110/1557] Generating share/nrn/lib/hoc/mulfit/eonefunc.hoc
[111/1557] Generating share/nrn/lib/hoc/mulfit/eparmlst.hoc
[112/1557] Generating share/nrn/lib/hoc/mulfit/fitparm.hoc
[113/1557] Generating share/nrn/lib/hoc/mulfit/eonerun.hoc
[114/1557] Generating share/nrn/lib/hoc/mulfit/eoneprim.hoc
[115/1557] Generating share/nrn/lib/hoc/mulfit/mulfit1.hoc
[116/1557] Generating share/nrn/lib/hoc/mulfit/optwrap.hoc
[117/1557] Generating share/nrn/lib/hoc/mulfit/protorun.hoc
[118/1557] Generating share/nrn/lib/hoc/mview.hoc
[119/1557] Generating share/nrn/lib/hoc/mview/allpp.hoc
[120/1557] Generating share/nrn/lib/hoc/mview/allcell.hoc
[121/1557] Generating share/nrn/lib/hoc/mview/distinct.hoc
[122/1557] Generating share/nrn/lib/hoc/mview/artview.hoc
[123/1557] Generating share/nrn/lib/hoc/mview/mview1.hoc
[124/1557] Generating share/nrn/lib/hoc/mview/distinctparm.hoc
[125/1557] Generating share/nrn/lib/hoc/mview/mviewxml.hoc
[126/1557] Generating share/nrn/lib/hoc/mview/mviewgui.hoc
[127/1557] Generating share/nrn/lib/hoc/mview/ncview.hoc
[128/1557] Generating share/nrn/lib/hoc/mview/realcell.hoc
[129/1557] Generating share/nrn/lib/hoc/mview/rcclasses.hoc
[130/1557] Generating share/nrn/lib/hoc/mview/ppanal.hoc
[131/1557] Generating share/nrn/lib/hoc/mview/parmsets.hoc
[132/1557] Generating share/nrn/lib/hoc/mview/parmvals.hoc
[133/1557] Generating share/nrn/lib/hoc/netbild/artcel.hoc
[134/1557] Generating share/nrn/lib/hoc/mview/secanal.hoc
[135/1557] Generating share/nrn/lib/hoc/netbild/grstrlst.hoc
[136/1557] Generating share/nrn/lib/hoc/netbild.hoc
[137/1557] Generating share/nrn/lib/hoc/netbild/edgegui.hoc
[138/1557] Generating share/nrn/lib/hoc/mview/treeview.hoc
[139/1557] Generating share/nrn/lib/hoc/netbild/netdata.hoc
[140/1557] Generating share/nrn/lib/hoc/netbild/netgui.hoc
[141/1557] Generating share/nrn/lib/hoc/netbild/netready.hoc
[142/1557] Generating share/nrn/lib/hoc/netbild/spkplot.hoc
[143/1557] Generating share/nrn/lib/hoc/netbild/syntypes.hoc
[144/1557] Generating share/nrn/lib/hoc/netparmpi.hoc
[145/1557] Generating share/nrn/lib/hoc/noload.hoc
[146/1557] Generating share/nrn/lib/hoc/nrngui.hoc
[147/1557] Generating share/nrn/lib/hoc/nrngui1.hoc
[148/1557] Generating share/nrn/lib/hoc/pcchdir.hoc
[149/1557] Generating share/nrn/lib/hoc/parcom.hoc
[150/1557] Generating share/nrn/lib/hoc/pointbsr.hoc
[151/1557] Generating share/nrn/lib/hoc/pointgrp.hoc
[152/1557] Generating share/nrn/lib/hoc/pointman.hoc
[153/1557] Generating share/nrn/lib/hoc/prcellstate.hoc
[154/1557] Generating share/nrn/lib/hoc/runfit.hoc
[155/1557] Generating share/nrn/lib/hoc/showmech.hoc
[156/1557] Generating share/nrn/lib/hoc/shapebox.hoc
[157/1557] Generating share/nrn/lib/hoc/single.hoc
[158/1557] Generating share/nrn/lib/hoc/single1.hoc
[159/1557] Generating share/nrn/lib/hoc/stdlib.hoc
[160/1557] Generating share/nrn/lib/hoc/subiter.hoc
[161/1557] Generating share/nrn/lib/hoc/thresh.hoc
[162/1557] Generating share/nrn/lib/hoc/stdgui.hoc
[163/1557] Generating share/nrn/lib/hoc/stdrun.hoc
[164/1557] Generating share/nrn/lib/hoc/vecwrap.hoc
[165/1557] Generating share/nrn/lib/hoc/varmeth1.hoc
[166/1557] Generating share/nrn/lib/hoc/wingroup.hoc
[167/1557] Generating share/nrn/lib/hocload.sh
[168/1557] Generating share/nrn/lib/nrn.defaults.in
[169/1557] Generating share/nrn/lib/hoc/vplay.hoc
[170/1557] Generating share/nrn/lib/nrnunits.lib.in
[171/1557] Generating share/nrn/lib/python/neuron/config.py
[172/1557] Generating share/nrn/lib/prologue.id
[173/1557] Generating share/nrn/lib/python/neuron/__init__.py
[174/1557] Generating share/nrn/lib/python/neuron/coreneuron.py
[175/1557] Generating share/nrn/lib/python/neuron/crxd/__init__.py
[176/1557] Generating share/nrn/lib/python/neuron/doc.py
[177/1557] Generating share/nrn/lib/python/neuron/expect_hocerr.py
[178/1557] Generating share/nrn/lib/python/neuron/gui2/__init__.py
[179/1557] Generating share/nrn/lib/python/neuron/gui.py
[180/1557] Generating share/nrn/lib/python/neuron/gui2/config.py
[181/1557] Generating share/nrn/lib/python/neuron/gui2/plotshape.py
[182/1557] Generating share/nrn/lib/python/neuron/gui2/rangevar.py
[183/1557] Generating share/nrn/lib/python/neuron/hclass3.py
[184/1557] Generating share/nrn/lib/python/neuron/neuroml/biophysics.py
[185/1557] Generating share/nrn/lib/python/neuron/neuroml/morphml.py
[186/1557] Generating share/nrn/lib/python/neuron/gui2/setup_threejs.py
[187/1557] Generating share/nrn/lib/python/neuron/gui2/utilities.py
[188/1557] Generating share/nrn/lib/python/neuron/neuroml/__init__.py
[189/1557] Generating share/nrn/lib/python/neuron/neuroml/metadata.py
[190/1557] Generating share/nrn/lib/python/neuron/neuroml/neuroml.py
[191/1557] Generating share/nrn/lib/python/neuron/neuroml/rdxml.py
[192/1557] Generating share/nrn/lib/python/neuron/neuroml/xml2nrn.py
[193/1557] Generating share/nrn/lib/python/neuron/nonvint_block_supervisor.py
[194/1557] Generating share/nrn/lib/python/neuron/rxd/constants.py
[195/1557] Generating share/nrn/lib/python/neuron/rxd/export.py
[196/1557] Generating share/nrn/lib/python/neuron/psection.py
[197/1557] Generating share/nrn/lib/python/neuron/rxd/__init__.py
[198/1557] Generating share/nrn/lib/python/neuron/rxd/dimension3.py
[199/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/FullJoinMorph.py
[200/1557] Generating help_data.dat
[201/1557] Generating share/nrn/lib/python/neuron/rxd/generalizedReaction.py
[202/1557] Generating share/nrn/lib/python/neuron/rxd/geometry.py
[203/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/GeneralizedVoxelization.py
[204/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/__init__.py
[205/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/ctng.pyx
[206/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/graphicsPrimitives.pyx
[207/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/scalarField.py
[208/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/simplevolume_helper.py
[209/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/surface.py
[210/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/triangularMesh.py
[211/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/voxelize.py
[212/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/surface_a.py
[213/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/surfaces.pyx
[214/1557] Generating share/nrn/lib/python/neuron/rxd/geometry3d/voxelize2.py
[215/1557] Generating share/nrn/lib/python/neuron/rxd/gui.py
[216/1557] Generating share/nrn/lib/python/neuron/rxd/initializer.py
[217/1557] Generating share/nrn/lib/python/neuron/rxd/multiCompartmentReaction.py
[218/1557] Generating share/nrn/lib/python/neuron/rxd/node.py
[219/1557] Generating share/nrn/lib/python/neuron/rxd/nodelist.py
[220/1557] Generating share/nrn/lib/python/neuron/rxd/rate.py
[221/1557] Generating share/nrn/lib/python/neuron/rxd/options.py
[222/1557] Generating share/nrn/lib/python/neuron/rxd/plugins.py
[223/1557] Generating share/nrn/lib/python/neuron/rxd/rangevar.py
[224/1557] Generating share/nrn/lib/python/neuron/rxd/reaction.py
[225/1557] Generating share/nrn/lib/python/neuron/rxd/region.py
[226/1557] Generating share/nrn/lib/python/neuron/rxd/rxdmath.py
[227/1557] Generating share/nrn/lib/python/neuron/rxd/rxd.py
[228/1557] Generating share/nrn/lib/python/neuron/rxd/rxdException.py
[229/1557] Generating share/nrn/lib/python/neuron/rxd/rxdsection.py
[230/1557] Generating share/nrn/lib/python/neuron/rxd/species.py
[231/1557] Generating share/nrn/lib/python/neuron/rxdtests/do_test.py
[232/1557] Generating share/nrn/lib/python/neuron/rxd/section1d.py
[233/1557] Generating share/nrn/lib/python/neuron/rxdtests/readme.txt
[234/1557] Generating share/nrn/lib/python/neuron/rxdtests/run_all.py
[235/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/circadian_rhythm.py
[236/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/include_flux3d.py
[237/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/include_flux3d_cvode.py
[238/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/pure_diffusion_3d.py
[239/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/multicompartment_reactions.py
[240/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/pure_diffusion_3d_cvode.py
[241/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/torun.txt
[242/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/IraHH.py
[243/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/c91662.swc
[244/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/ca_pump.py
[245/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/cabuf.py
[246/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/cabuf_fixed_step.py
[247/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_before_sections.py
[248/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_example.py
[249/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_example_cvode.py
[250/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_include_flux.py
[251/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/torun.txt
[252/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_include_flux_cvode.py
[253/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_multi_example.py
[254/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/pump.mod
[255/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh.py
[256/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_multi_example_cvode.py
[257/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh_cvode.py
[258/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh_morph.py
[259/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh_param_cvode.py
[260/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh_param.py
[261/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/bistable_hybrid.py
[262/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/bistable_hybrid_cvode.py
[263/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/bistable_hybrid_change_nthread.py
[264/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/bistable_hybrid_cvode_change_nthread.py
[265/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/pure_diffusion_hybrid_cvode.py
[266/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/pure_diffusion_hybrid.py
[267/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/include_flux_cvode.py
[268/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/torun.txt
[269/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/include_flux.py
[270/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/multicompartment_mebrane_mismatch.py
[271/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/multicompartment_reactions.py
[272/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/multicompartment_reactions_del.py
[273/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/nodes_update.py
[274/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/multicompartment_reactions_with_v.py
[275/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/react_region_specified.py
[276/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/pure_diffusion.py
[277/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/pure_diffusion_cvode.py
[278/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/reaction_null_dest.py
[279/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/reaction_test.py
[280/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/torun.txt
[281/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/verify_no_initialization_order_issue.py
[282/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/reaction_param_test.py
[283/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/caleak.mod
[284/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/cal2.mod
[285/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/torun.txt
[286/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_25_5.py
[287/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/readme.txt
[288/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_taper_125_1.py
[289/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_tree2_25_5.py
[290/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_125_1.py
[291/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_tree2_125_1.py
[292/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_tree_25_5.py
[293/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_taper_25_5.py
[294/1557] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_tree_125_1.py
[295/1557] Generating share/nrn/lib/python/neuron/sections.py
[296/1557] Generating share/nrn/lib/python/neuron/tests/_subclass.py
[297/1557] Generating share/nrn/lib/python/neuron/tests/test_neuron.py
[298/1557] Generating share/nrn/lib/python/neuron/tests/test_rxd.py
[299/1557] Generating share/nrn/lib/python/neuron/tests/__init__.py
[300/1557] Generating share/nrn/lib/python/neuron/tests/test_all.py
[301/1557] Generating share/nrn/lib/python/neuron/tests/test_vector.py
[302/1557] Generating share/nrn/lib/python/neuron/tests/utils/__init__.py
[303/1557] Generating share/nrn/lib/python/neuron/tests/utils/checkresult.py
[304/1557] Generating share/nrn/lib/python/neuron/tests/utils/strtobool.py
[305/1557] Generating share/nrn/lib/python/neuron/units.py
[306/1557] Generating share/nrn/lib/python/scripts/_README.txt
[307/1557] Generating share/nrn/lib/python/scripts/idraw
[308/1557] Generating share/nrn/lib/python/scripts/_binwrapper.py
[309/1557] Generating share/nrn/lib/python/scripts/modlunit
[310/1557] Generating share/nrn/lib/python/scripts/neurondemo
[311/1557] Generating share/nrn/lib/python/scripts/mkthreadsafe
[312/1557] Generating share/nrn/lib/python/scripts/nrniv-core
[313/1557] Generating share/nrn/lib/python/scripts/nrngui
[314/1557] Generating share/nrn/lib/python/scripts/nrniv
[315/1557] Generating share/nrn/lib/python/scripts/nrnivmodl
[316/1557] Generating share/nrn/lib/python/scripts/nrnivmodl-core
[317/1557] Generating share/nrn/lib/python/scripts/nrnpyenv.sh
[318/1557] Generating share/nrn/lib/python/scripts/sortspike
[319/1557] Generating share/nrn/lib/shape.cm1
[320/1557] Generating share/nrn/lib/shape.cm2
[321/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/file_utils.cpp.o
[322/1557] Building CXX object src/coreneuron/CMakeFiles/corenrn_mpi.dir/mpi/lib/mpispike.cpp.o
[323/1557] Building CXX object src/coreneuron/CMakeFiles/corenrn_mpi.dir/mpi/lib/nrnmpi.cpp.o
[324/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/mem_layout_util.cpp.o
[325/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/global_vars.cpp.o
[326/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/lfp.cpp.o
[327/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/mech_report.cpp.o
[328/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/gpu/nrn_acc_manager.cpp.o
[329/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/apps/main1.cpp.o
[330/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/mk_mech.cpp.o
[331/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/nrn_filehandler.cpp.o
[332/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/core2nrn_data_return.cpp.o
[333/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/nrn2core_data_init.cpp.o
[334/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/phase1.cpp.o
[335/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/nrn_checkpoint.cpp.o
[336/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/nrnreport.cpp.o
[337/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/output_spikes.cpp.o
[338/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/report_event.cpp.o
[339/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/report_handler.cpp.o
[340/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/report_configuration_parser.cpp.o
[341/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/sonata_report_handler.cpp.o
[342/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/capac.cpp.o
[343/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/prcellstate.cpp.o
[344/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mpi/core/nrnmpi_def_cinc.cpp.o
[345/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/setup_fornetcon.cpp.o
[346/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/eion.cpp.o
[347/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/mech_mapping.cpp.o
[348/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/patternstim.cpp.o
[349/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/phase2.cpp.o
[350/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/cvodestb.cpp.o
[351/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/multisend.cpp.o
[352/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/nrn_setup.cpp.o
[353/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/balance.cpp.o
[354/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/multisend_setup.cpp.o
[355/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/partrans.cpp.o
[356/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/tqueue.cpp.o
[357/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/register_mech.cpp.o
[358/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/netcvode.cpp.o
[359/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/cellorder.cpp.o
[360/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/data_layout.cpp.o
[361/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/partrans_setup.cpp.o
[362/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/fast_imem.cpp.o
[363/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/netpar.cpp.o
[364/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/cellorder2.cpp.o
[365/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/cellorder1.cpp.o
[366/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/fadvance_core.cpp.o
[367/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/scopmath/abort.cpp.o
[368/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/ivocvect.cpp.o
[369/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/scopmath/newton_thread.cpp.o
[370/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/finitialize.cpp.o
[371/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/node_permute.cpp.o
[372/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/solve_core.cpp.o
[373/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/progressbar/progressbar.cpp.o
[374/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/treeset_core.cpp.o
[375/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/memory.cpp.o
[376/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/nrntimeout.cpp.o
[377/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/lpt.cpp.o
[378/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/memory_utils.cpp.o
[379/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/string_utils.cpp.o
[380/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/multicore.cpp.o
[381/1557] Generating ../../share/coreneuron/enginemech.cpp
[382/1557] Generating ../../share/coreneuron/mod_func.c.pl
[383/1557] Generating ../../share/modfile/exp2syn.mod
[384/1557] Generating ../../share/modfile/expsyn.mod
[385/1557] Generating ../../share/modfile/hh.mod
[386/1557] Generating ../../share/modfile/netstim.mod
[387/1557] Generating ../../share/modfile/passive.mod
[388/1557] Generating ../../share/modfile/pattern.mod
[389/1557] Generating ../../share/modfile/stim.mod
[390/1557] Generating ../../share/modfile/svclmp.mod
[391/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/nrn_stats.cpp.o
[392/1557] [BISON][diffeq] Building parser with bison 2.3
../../../../../external/mod2c/src/mod2c_core/diffeq.y: conflicts: 5 shift/reduce
[393/1557] [FLEX][modlunitlexer] Building scanner with flex 2.6.4
[394/1557] [BISON][parse1] Building parser with bison 2.3
[395/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/nrnoc_aux.cpp.o
[396/1557] [FLEX][lex] Building scanner with flex 2.6.4
[397/1557] [BISON][modlunitparser] Building parser with bison 2.3
[398/1557] [BISON][nocmodlparser] Building parser with bison 2.3
../src/nmodl/diffeq.ypp: conflicts: 5 shift/reduce
[399/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/__/__/coreneuron/config/config.cpp.o
[400/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/consist.c.o
[401/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/io.c.o
[402/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/list.c.o
[403/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/utils.cpp.o
[404/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/partial.c.o
[405/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/version.c.o
[406/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/solve.c.o
[407/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/randoms/nrnran123.cpp.o
[408/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/nocpout.c.o
[409/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mpi/core/nrnmpidec.cpp.o
[410/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/discrete.c.o
[411/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/sens.c.o
[412/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/modl.c.o
[413/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/parsact.c.o
[414/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/kinetic.c.o
[415/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/symbol.c.o
[416/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/init.c.o
[417/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/deriv.c.o
[418/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mpi/core/resolve.cpp.o
[419/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/simultan.c.o
[420/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/noccout.c.o
[421/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/diffeq.c.o
[422/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/units.c.o
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/external/mod2c/src/mod2c_core/units.c:1028:55: warning: data argument not used by format string [-Wformat-extra-args]
sprintf(buf, "\nstatic double %s = %a;\n", name, u, u);
~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ^
/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/include/secure/_stdio.h:47:56: note: expanded from macro 'sprintf'
__builtin___sprintf_chk (str, 0, __darwin_obsz(str), __VA_ARGS__)
^~~~~~~~~~~
1 warning generated.
[423/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/vrecord.cpp.o
[424/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/parse1.c.o
[425/1557] Building C object external/mod2c/src/mod2c_core/CMakeFiles/mod2c_core.dir/lex.c.o
[426/1557] Linking C executable bin/mod2c_core
[427/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/consist.cpp.o
[428/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/init.cpp.o
[429/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/io.cpp.o
[430/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/kinunit.cpp.o
[431/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/declare.cpp.o
[432/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/model.cpp.o
[433/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/list.cpp.o
[434/1557] [FLEX][nocmodllexer] Building scanner with flex 2.6.4
[435/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/nrnunit.cpp.o
[436/1557] [BISON][nocmodlparser] Building parser with bison 2.3
../src/nmodl/parse1.ypp: conflicts: 3 shift/reduce
[437/1557] [BISON][ocparser] Building parser with bison 2.3
../src/oc/parse.ypp:382.11-392.19: warning: unused value: $3
../src/oc/parse.ypp:545.11-552.17: warning: unused value: $1
../src/oc/parse.ypp: conflicts: 96 shift/reduce
[438/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/passn.cpp.o
[439/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/units1.cpp.o
[440/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/symbol.cpp.o
[441/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/version.cpp.o
[442/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/units.cpp.o
[443/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/modlunit_generated/lex.cpp.o
[444/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/consist.cpp.o
[445/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/discrete.cpp.o
[446/1557] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/modlunit_generated/parse1.cpp.o
[447/1557] Linking CXX executable bin/modlunit
[448/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/deriv.cpp.o
[449/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/init.cpp.o
[450/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/list.cpp.o
[451/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/io.cpp.o
[452/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/kinetic.cpp.o
[453/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/modl.cpp.o
[454/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/netrec_discon.cpp.o
[455/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/noccout.cpp.o
[456/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/parsact.cpp.o
[457/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/nocpout.cpp.o
[458/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/simultan.cpp.o
[459/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/version.cpp.o
[460/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/symbol.cpp.o
[461/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/solve.cpp.o
[462/1557] Generating coreneuron_standalone/test_nrn_corenrn_standalone/test/coreneuron/test_psolve.py
[463/1557] Generating nrniv_script.py/do_nothing.py
[464/1557] Generating ../oc/hocusr.h
[465/1557] Generating nrniv_two_scripts.py/do_nothing.py
[466/1557] Generating nrniv_script.py_error/assert_false.py
[467/1557] Generating nrniv_two_scripts.py_error_check_code/assert_false.py
[468/1557] Generating nrniv_two_scripts.py_error_check_code/do_nothing.py
[469/1557] Generating nrniv_two_scripts.py_error_check_output/assert_false.py
[470/1557] Generating nrniv_two_scripts.py_error_check_output/do_nothing.py
[471/1557] Generating nrniv_python_script.py_error/assert_false.py
[472/1557] Generating nrniv_python_script.py/do_nothing.py
[473/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/units.cpp.o
[474/1557] Generating nrniv_python_script.py_twice/assert_false.py
[475/1557] Generating nrniv_python_script.py_twice/do_nothing.py
[476/1557] Generating nrniv_python_script.py_args/assert_last_args_are_foo_and_bar.py
[477/1557] Generating nrniv_py3.10_python_check_sys_path/dump_sys_attr.py
[478/1557] Generating nrniv_py3.10_python_check_sys_path/check_sys_attr.py
[479/1557] Generating nrniv_py3.10_nrnpython_check_sys_path/check_sys_attr.hoc
[480/1557] Generating nrniv_py3.10_nrnpython_check_sys_path/dump_sys_attr.py
[481/1557] Generating nrniv_py3.10_python_check_sys_prefix/check_sys_attr.py
[482/1557] Generating nrniv_py3.10_python_check_sys_prefix/dump_sys_attr.py
[483/1557] Generating nrniv_py3.10_nrnpython_check_sys_prefix/check_sys_attr.hoc
[484/1557] Generating nrniv_py3.10_nrnpython_check_sys_prefix/dump_sys_attr.py
[485/1557] Generating nrniv_py3.10_python_check_sys_exec_prefix/check_sys_attr.py
[486/1557] Generating nrniv_py3.10_python_check_sys_exec_prefix/dump_sys_attr.py
[487/1557] Generating nrniv_py3.10_nrnpython_check_sys_exec_prefix/dump_sys_attr.py
[488/1557] Generating nrniv_py3.10_nrnpython_check_sys_exec_prefix/check_sys_attr.hoc
[489/1557] Generating nrniv_py3.10_python_check_sys_base_prefix/check_sys_attr.py
[490/1557] Generating nrniv_py3.10_python_check_sys_base_prefix/dump_sys_attr.py
[491/1557] Generating nrniv_py3.10_nrnpython_check_sys_base_prefix/check_sys_attr.hoc
[492/1557] Generating nrniv_py3.10_nrnpython_check_sys_base_prefix/dump_sys_attr.py
[493/1557] Generating nrniv_py3.10_python_check_sys_base_exec_prefix/check_sys_attr.py
[494/1557] Generating nrniv_py3.10_python_check_sys_base_exec_prefix/dump_sys_attr.py
[495/1557] Generating nrniv_py3.10_nrnpython_check_sys_base_exec_prefix/check_sys_attr.hoc
[496/1557] Generating nrniv_py3.10_nrnpython_check_sys_base_exec_prefix/dump_sys_attr.py
[497/1557] Generating nrniv_py3.10_python_check_sys_stderr.encoding/check_sys_attr.py
[498/1557] Generating nrniv_py3.10_python_check_sys_stderr.encoding/dump_sys_attr.py
[499/1557] Building CXX object src/nrnpython/CMakeFiles/rxdmath.dir/rxdmath.cpp.o
[500/1557] Generating nrniv_py3.10_nrnpython_check_sys_stderr.encoding/check_sys_attr.hoc
[501/1557] Generating nrniv_py3.10_python_check_sys_stdin.encoding/check_sys_attr.py
[502/1557] Generating nrniv_py3.10_nrnpython_check_sys_stderr.encoding/dump_sys_attr.py
[503/1557] Generating nrniv_py3.10_nrnpython_check_sys_stdin.encoding/check_sys_attr.hoc
[504/1557] Generating nrniv_py3.10_python_check_sys_stdin.encoding/dump_sys_attr.py
[505/1557] Generating nrniv_py3.10_nrnpython_check_sys_stdin.encoding/dump_sys_attr.py
[506/1557] Generating nrniv_py3.10_python_check_sys_stdout.encoding/check_sys_attr.py
[507/1557] Generating nrniv_py3.10_nrnpython_check_sys_stdout.encoding/check_sys_attr.hoc
[508/1557] Generating nrniv_py3.10_python_check_sys_stdout.encoding/dump_sys_attr.py
[509/1557] Generating nrniv_py3.10_nrnpython_check_sys_stdout.encoding/dump_sys_attr.py
[510/1557] Generating nrniv_pydef_python_check_sys_path/check_sys_attr.py
[511/1557] Generating nrniv_pydef_python_check_sys_path/dump_sys_attr.py
[512/1557] Generating nrniv_pydef_nrnpython_check_sys_path/check_sys_attr.hoc
[513/1557] Generating nrniv_pydef_nrnpython_check_sys_prefix/check_sys_attr.hoc
[514/1557] Generating nrniv_pydef_nrnpython_check_sys_path/dump_sys_attr.py
[515/1557] Generating nrniv_pydef_python_check_sys_prefix/dump_sys_attr.py
[516/1557] Generating nrniv_pydef_python_check_sys_prefix/check_sys_attr.py
[517/1557] Generating nrniv_pydef_nrnpython_check_sys_prefix/dump_sys_attr.py
[518/1557] Generating nrniv_pydef_python_check_sys_exec_prefix/check_sys_attr.py
[519/1557] Generating nrniv_pydef_python_check_sys_exec_prefix/dump_sys_attr.py
[520/1557] Generating nrniv_pydef_nrnpython_check_sys_exec_prefix/check_sys_attr.hoc
[521/1557] Linking CXX shared library lib/librxdmath.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
[522/1557] Generating nrniv_pydef_python_check_sys_base_prefix/dump_sys_attr.py
[523/1557] Generating nrniv_pydef_python_check_sys_base_prefix/check_sys_attr.py
[524/1557] Generating nrniv_pydef_nrnpython_check_sys_exec_prefix/dump_sys_attr.py
[525/1557] Generating nrniv_pydef_nrnpython_check_sys_base_prefix/dump_sys_attr.py
[526/1557] Generating nrniv_pydef_nrnpython_check_sys_base_prefix/check_sys_attr.hoc
[527/1557] cd /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/src/nrnoc && /opt/homebrew/Cellar/cmake/3.24.2/bin/cmake -E env PROJECT_VERSION=9.0.0 bash /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/git2nrnversion_h.sh /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn > nrnversion.h.tmp && /opt/homebrew/Cellar/cmake/3.24.2/bin/cmake -E copy_if_different nrnversion.h.tmp nrnversion.h
fatal: No names found, cannot describe anything.
[528/1557] Generating nrniv_pydef_python_check_sys_base_exec_prefix/check_sys_attr.py
[529/1557] Generating nrniv_pydef_nrnpython_check_sys_base_exec_prefix/check_sys_attr.hoc
[530/1557] Generating nrniv_pydef_python_check_sys_base_exec_prefix/dump_sys_attr.py
[531/1557] Generating nrniv_pydef_nrnpython_check_sys_base_exec_prefix/dump_sys_attr.py
[532/1557] Generating nrniv_pydef_python_check_sys_stderr.encoding/check_sys_attr.py
[533/1557] Generating nrniv_pydef_nrnpython_check_sys_stderr.encoding/check_sys_attr.hoc
[534/1557] Generating nrniv_pydef_python_check_sys_stderr.encoding/dump_sys_attr.py
[535/1557] Generating nrniv_pydef_nrnpython_check_sys_stderr.encoding/dump_sys_attr.py
[536/1557] Generating nrniv_pydef_nrnpython_check_sys_stdin.encoding/check_sys_attr.hoc
[537/1557] Generating nrniv_pydef_python_check_sys_stdin.encoding/check_sys_attr.py
[538/1557] Generating nrniv_pydef_python_check_sys_stdin.encoding/dump_sys_attr.py
[539/1557] Generating nrniv_pydef_nrnpython_check_sys_stdin.encoding/dump_sys_attr.py
[540/1557] Generating nrniv_pydef_nrnpython_check_sys_stdout.encoding/dump_sys_attr.py
[541/1557] Generating nrniv_pydef_python_check_sys_stdout.encoding/check_sys_attr.py
[542/1557] Generating nrniv_pydef_nrnpython_check_sys_stdout.encoding/check_sys_attr.hoc
[543/1557] Generating nrniv_pydef_python_check_sys_stdout.encoding/dump_sys_attr.py
[544/1557] Generating ../tests/ringtest/reference_data/spk1.100ms.std.ref
[545/1557] Generating ../tests/testcorenrn/reference/out_conc.spk
[546/1557] Generating ../../compare_test_results.py
[547/1557] Generating ../tests/testcorenrn/reference/out_deriv.spk
[548/1557] Generating ../tests/testcorenrn/reference/out_bbcore.spk
[549/1557] Generating ../tests/testcorenrn/reference/out_gf.spk
[550/1557] Generating ../tests/testcorenrn/reference/out_vecplay.spk
[551/1557] Generating ../tests/testcorenrn/reference/out_patstim.spk
[552/1557] Generating ../tests/testcorenrn/reference/out_kin.spk
[553/1557] Generating ../tests/testcorenrn/reference/out_watch.spk
[554/1557] Generating ../tests/testcorenrn/reference/out_vecevent.spk
[555/1557] Generating ../tests/testcorenrn/reference/out_netstimdirect.spk
[556/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/nocmodl_generated/lex.cpp.o
[557/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/nocmodl_generated/diffeq.cpp.o
[558/1557] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/nocmodl_generated/parse1.cpp.o
[559/1557] Linking CXX executable bin/nocmodl
[560/1557] Generating ../nrnoc/feature.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/feature.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/feature.cpp
Notice: VERBATIM blocks are not thread safe
[561/1557] Generating ../nrnoc/apcount.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/apcount.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/apcount.cpp
Thread Safe
[562/1557] Generating ../nrnoc/expsyn.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/expsyn.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/expsyn.cpp
Thread Safe
[563/1557] Generating ../nrnoc/hh.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/hh.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/hh.cpp
Thread Safe
[564/1557] Generating ../nrnoc/intfire1.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/intfire1.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/intfire1.cpp
Thread Safe
[565/1557] Generating ../nrnoc/netstim.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/netstim.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/netstim.cpp
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
[566/1557] Generating ../nrnoc/intfire4.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/intfire4.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/intfire4.cpp
Thread Safe
[567/1557] Generating ../nrnoc/intfire2.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/intfire2.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/intfire2.cpp
Thread Safe
[568/1557] Generating ../nrnoc/exp2syn.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/exp2syn.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/exp2syn.cpp
Thread Safe
[569/1557] Generating ../nrnoc/passive.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/passive.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/passive.cpp
Thread Safe
[570/1557] Generating ../nrnoc/pattern.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/pattern.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/pattern.cpp
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
[571/1557] Generating ../nrnoc/ppmark.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/ppmark.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/ppmark.cpp
Thread Safe
[572/1557] Generating ../nrnoc/oclmp.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/oclmp.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/oclmp.cpp
Thread Safe
[573/1557] Generating ../nrnoc/stim.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/stim.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/stim.cpp
Thread Safe
[574/1557] Generating ../nrnoc/syn.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/syn.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/syn.cpp
Thread Safe
[575/1557] Generating ../nrnoc/svclmp.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/svclmp.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/svclmp.cpp
Thread Safe
[576/1557] Generating ../nrnoc/vclmp.cpp
Translating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/vclmp.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/src/nrnoc/vclmp.cpp
Notice: VERBATIM blocks are not thread safe
Notice: LINEAR is not thread safe.
[577/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/epsprint.cpp.o
[578/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/checkpnt.cpp.o
[579/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/axis.cpp.o
[580/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/cbwidget.cpp.o
[581/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/bndedval.cpp.o
[582/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/gifimage.cpp.o
[583/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/apwindow.cpp.o
[584/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/fourier.cpp.o
[585/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/hocmark.cpp.o
[586/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/idraw.cpp.o
[587/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/graphvec.cpp.o
[588/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/grmanip.cpp.o
[589/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/htlist.cpp.o
[590/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/graph.cpp.o
[591/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/grglyph.cpp.o
[592/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/mlinedit.cpp.o
[593/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ivocmain.cpp.o
[594/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/mymath.cpp.o
[595/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/objcmd.cpp.o
[596/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/oc2iv.cpp.o
[597/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/matrix.cpp.o
[598/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ivocrand.cpp.o
[599/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocbrowsr.cpp.o
[600/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocbox.cpp.o
[601/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ochelp.cpp.o
[602/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocdeck.cpp.o
[603/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ivoc.cpp.o
[604/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocfile.cpp.o
[605/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocpicker.cpp.o
[606/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocnoiv1.cpp.o
[607/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocobserv.cpp.o
[608/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/oclist.cpp.o
[609/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocpointer.cpp.o
[610/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocmatrix.cpp.o
[611/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/octimer.cpp.o
[612/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/rect.cpp.o
[613/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocptrvector.cpp.o
[614/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ivocvect.cpp.o
[615/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/rubband.cpp.o
[616/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/scenepic.cpp.o
[617/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/pwman.cpp.o
[618/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/scene.cpp.o
[619/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/utility.cpp.o
[620/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/field.cpp.o
[621/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/xyview.cpp.o
[622/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/xdep.cpp.o
[623/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/symchoos.cpp.o
[624/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/xmenu.cpp.o
[625/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/strfun.cpp.o
[626/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/cvodestb.cpp.o
[627/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/cvtrset.cpp.o
[628/1557] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/apps/corenrn_parameters.cpp.o
[629/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/nrndaspk.cpp.o
[630/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/tqueue.cpp.o
[631/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/cvodeobj.cpp.o
[632/1557] Linking CXX static library lib/libcoreneuron-core.a
[633/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/occvode.cpp.o
[634/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbs.cpp.o
[635/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbsdirect.cpp.o
[636/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbssrv.cpp.o
[637/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbslocal.cpp.o
[638/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbslsrv.cpp.o
[639/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbsrcli.cpp.o
[640/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/cachevec.cpp.o
[641/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/classreg.cpp.o
[642/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbslsrv2.cpp.o
[643/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/cxprop.cpp.o
[644/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/geometry3d.cpp.o
[645/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/finithnd.cpp.o
[646/1557] Running nrnivmodl-core with internal mod files
WARNING: No mod files found in '/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/bin', compiling default ones only!
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build MECHLIB_SUFFIX=internal MOD2CPP_BINARY=/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/bin/mod2c_core MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
Translating arm64/corenrn/mod2c/hh.mod into arm64/corenrn/mod2c//hh.cpp
Translating arm64/corenrn/mod2c/expsyn.mod into arm64/corenrn/mod2c//expsyn.cpp
Translating arm64/corenrn/mod2c/netstim.mod into arm64/corenrn/mod2c//netstim.cpp
Translating arm64/corenrn/mod2c/exp2syn.mod into arm64/corenrn/mod2c//exp2syn.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/passive.mod into arm64/corenrn/mod2c//passive.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/stim.mod into arm64/corenrn/mod2c//stim.cpp
Translating arm64/corenrn/mod2c/pattern.mod into arm64/corenrn/mod2c//pattern.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Translating arm64/corenrn/mod2c/svclmp.mod into arm64/corenrn/mod2c//svclmp.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
arm64/corenrn/mod2c/expsyn.cpp:529:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:606:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:468:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:563:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:640:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:501:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:555:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:611:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:489:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
3 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/passive.cpp:342:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/stim.cpp:401:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/stim.cpp:328:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:502:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:607:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:434:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
1 warning generated.
2 warnings generated.
3 warnings generated.
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
[647/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/glinerec.cpp.o
[648/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/backtrace_utils.cpp.o
[649/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/hocmech.cpp.o
[650/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/datapath.cpp.o
[651/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/impedanc.cpp.o
[652/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/kssingle.cpp.o
[653/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/linmod.cpp.o
[654/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbsavestate.cpp.o
[655/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/kschan.cpp.o
[656/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/linmod1.cpp.o
[657/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/matrixmap.cpp.o
[658/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/ndatclas.cpp.o
[659/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/netcvode.cpp.o
[660/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nonlinz.cpp.o
[661/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write.cpp.o
[662/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/netpar.cpp.o
[663/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/data/datum_indices.cpp.o
[664/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/multisplit.cpp.o
[665/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/io/nrncore_io.cpp.o
[666/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/data/cell_group.cpp.o
[667/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/utils/nrncore_utils.cpp.o
[668/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/callbacks/nrncore_callbacks.cpp.o
[669/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrnpy.cpp.o
[670/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrnmenu.cpp.o
[671/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrndae.cpp.o
[672/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nvector_nrnserial_ld.cpp.o
[673/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrnste.cpp.o
[674/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nvector_nrnthread_ld.cpp.o
[675/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nvector_nrnthread.cpp.o
[676/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/ocjump.cpp.o
[677/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/ppshape.cpp.o
[678/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/ocbbs.cpp.o
[679/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/rotate3d.cpp.o
[680/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/prcellstate.cpp.o
[681/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/pysecname2sec.cpp.o
[682/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/secbrows.cpp.o
[683/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/shape.cpp.o
[684/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/partrans.cpp.o
[685/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/savstate.cpp.o
[686/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/shapeplt.cpp.o
[687/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/splitcell.cpp.o
[688/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/symdir.cpp.o
[689/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/spaceplt.cpp.o
[690/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/vrecord.cpp.o
[691/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/clamp.cpp.o
[692/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/capac.cpp.o
[693/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/cabcode.cpp.o
[694/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/eion.cpp.o
[695/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/extcelln.cpp.o
[696/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/fstim.cpp.o
[697/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/fadvance.cpp.o
[698/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/hocprax.cpp.o
[699/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/membfunc.cpp.o
[700/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/ldifus.cpp.o
[701/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/init.cpp.o
[702/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/nrnnemo.cpp.o
[703/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/nrntimeout.cpp.o
[704/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/passive0.cpp.o
[705/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/nrnversion.cpp.o
[706/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/psection.cpp.o
[707/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/point.cpp.o
[708/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/seclist.cpp.o
[709/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/secref.cpp.o
[710/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/synapse.cpp.o
[711/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/solve.cpp.o
[712/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/isaac64.cpp.o
[713/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/treeset.cpp.o
[714/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/mcran4.cpp.o
[715/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/nrnisaac.cpp.o
[716/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/multicore.cpp.o
[717/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/audit.cpp.o
[718/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/nrnran123.cpp.o
[719/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/axis.cpp.o
[720/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/code2.cpp.o
[721/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/debug.cpp.o
[722/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/fileio.cpp.o
[723/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/fmenu.cpp.o
[724/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/ftime.cpp.o
[725/1557] Building CXX object test/coreneuron/unit/cmdline_interface/CMakeFiles/cmd_interface_test_bin.dir/test_cmdline_interface.cpp.o
[726/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/functabl.cpp.o
[727/1557] Building CXX object test/coreneuron/unit/interleave_info/CMakeFiles/interleave_info_bin.dir/check_constructors.cpp.o
[728/1557] Linking CXX executable bin/cmd_interface_test_bin
[729/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/getsym.cpp.o
[730/1557] Linking CXX executable bin/interleave_info_bin
[731/1557] Building CXX object test/coreneuron/unit/queueing/CMakeFiles/queuing_test_bin.dir/test_queueing.cpp.o
[732/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/hoc.cpp.o
[733/1557] Linking CXX executable bin/queuing_test_bin
[734/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/hocusr.cpp.o
[735/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/hoc_init.cpp.o
[736/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/list.cpp.o
[737/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/mswinprt.cpp.o
[738/1557] Building CXX object test/coreneuron/unit/lfp/CMakeFiles/lfp_test_bin.dir/lfp.cpp.o
[739/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/math.cpp.o
[740/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/ocerf.cpp.o
[741/1557] Linking CXX executable bin/lfp_test_bin
[742/1557] Building CXX object test/coreneuron/unit/alignment/CMakeFiles/alignment_test_bin.dir/alignment.cpp.o
[743/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/hoc_oop.cpp.o
[744/1557] Linking CXX executable bin/alignment_test_bin
[745/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/code.cpp.o
[746/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/nonlin.cpp.o
[747/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/plot.cpp.o
[748/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/plt.cpp.o
[749/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/arnoldi.c.o
[750/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/settext.cpp.o
[751/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/regexp.cpp.o
[752/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/version.cpp.o
[753/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/x.cpp.o
[754/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/scoprand.cpp.o
[755/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/bdfactor.c.o
[756/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/dmacheps.c.o
[757/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/conjgrad.c.o
[758/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/copy.c.o
[759/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/chfactor.c.o
[760/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/err.c.o
[761/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/bkpfacto.c.o
[762/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/givens.c.o
[763/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/hessen.c.o
[764/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/fft.c.o
[765/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/symbol.cpp.o
[766/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/xred.cpp.o
[767/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/hsehldr.c.o
[768/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/init.c.o
[769/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/extras.c.o
[770/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/iter0.c.o
[771/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/machine.c.o
[772/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/lanczos.c.o
[773/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/ivecop.c.o
[774/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/matlab.c.o
[775/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/lufactor.c.o
[776/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/itersym.c.o
[777/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/meminfo.c.o
[778/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/memstat.c.o
[779/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/norm.c.o
[780/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/oc_generated/parse.cpp.o
[781/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/matop.c.o
[782/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/memory.c.o
[783/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/mfunc.c.o
[784/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/iternsym.c.o
[785/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/matrixio.c.o
[786/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/otherio.c.o
[787/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/pxop.c.o
[788/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/solve.c.o
[789/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/qrfactor.c.o
[790/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/sparseio.c.o
[791/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/schur.c.o
[792/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/splufctr.c.o
[793/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/submat.c.o
[794/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/spswap.c.o
[795/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/symmeig.c.o
[796/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/sprow.c.o
[797/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/spchfctr.c.o
[798/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/version.c.o
[799/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/sparse.c.o
[800/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/update.c.o
[801/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/svd.c.o
[802/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/vecop.c.o
[803/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zcopy.c.o
[804/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zfunc.c.o
[805/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zgivens.c.o
[806/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zhessen.c.o
[807/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zhsehldr.c.o
[808/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zmachine.c.o
[809/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zlufctr.c.o
[810/1557] Building CXX object test/coreneuron/unit/solver/CMakeFiles/test-solver.dir/test_solver.cpp.o
[811/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/spbkp.c.o
[812/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zmatlab.c.o
[813/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zmatio.c.o
[814/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/znorm.c.o
[815/1557] Linking CXX executable bin/test-solver
[816/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zmemory.c.o
[817/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zschur.c.o
[818/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zsolve.c.o
[819/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zqrfctr.c.o
[820/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zmatop.c.o
[821/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zvecop.c.o
[822/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/feature.cpp.o
[823/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/intfire1.cpp.o
[824/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/apcount.cpp.o
[825/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/expsyn.cpp.o
[826/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/exp2syn.cpp.o
[827/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/intfire2.cpp.o
[828/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/hh.cpp.o
[829/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/oclmp.cpp.o
[830/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/intfire4.cpp.o
[831/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/netstim.cpp.o
[832/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/DiscUnif.cpp.o
[833/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/Binomial.cpp.o
[834/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/ACG.cpp.o
[835/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/passive.cpp.o
[836/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/ppmark.cpp.o
[837/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/stim.cpp.o
[838/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/pattern.cpp.o
[839/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/syn.cpp.o
[840/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/svclmp.cpp.o
[841/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/vclmp.cpp.o
[842/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/Geom.cpp.o
[843/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/HypGeom.cpp.o
[844/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/Erlang.cpp.o
[845/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/LogNorm.cpp.o
[846/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/MLCG.cpp.o
[847/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/NegExp.cpp.o
[848/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/Poisson.cpp.o
[849/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/Random.cpp.o
[850/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/RNG.cpp.o
[851/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/Normal.cpp.o
[852/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/RndInt.cpp.o
[853/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/Uniform.cpp.o
[854/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/gnu/Weibull.cpp.o
[855/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/abort.cpp.o
[856/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/f2cmisc.cpp.o
[857/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/advance.cpp.o
[858/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/scoperf.cpp.o
[859/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/boundary.cpp.o
[860/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/crank.cpp.o
[861/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/exprand.cpp.o
[862/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/factoria.cpp.o
[863/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/expfit.cpp.o
[864/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/gauss.cpp.o
[865/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/force.cpp.o
[866/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/getmem.cpp.o
[867/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/harmonic.cpp.o
[868/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/hyperbol.cpp.o
[869/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/invert.cpp.o
[870/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/lag.cpp.o
[871/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/normrand.cpp.o
[872/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/legendre.cpp.o
[873/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/perpulse.cpp.o
[874/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/poisrand.cpp.o
[875/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/perstep.cpp.o
[876/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/poisson.cpp.o
[877/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/revhyper.cpp.o
[878/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/ramp.cpp.o
[879/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/pulse.cpp.o
[880/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/revsigmo.cpp.o
[881/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/revsawto.cpp.o
[882/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/romberg.cpp.o
[883/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/sawtooth.cpp.o
[884/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/sigmoid.cpp.o
[885/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/bksub.cpp.o
[886/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/praxis.cpp.o
[887/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/lineq.cpp.o
[888/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/threshol.cpp.o
[889/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/squarewa.cpp.o
[890/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/step.cpp.o
[891/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/tridiag.cpp.o
[892/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/spline.cpp.o
[893/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/prmat.cpp.o
[894/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/getelm.cpp.o
[895/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/subrows.cpp.o
[896/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/spalloc.cpp.o
[897/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/spbuild.cpp.o
[898/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/spsolve.cpp.o
[899/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/spoutput.cpp.o
[900/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvband.c.o
[901/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/cspalloc.cpp.o
[902/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvbandpre.c.o
[903/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/sputils.cpp.o
[904/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvbbdpre.c.o
[905/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/cspbuild.cpp.o
[906/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvdense.c.o
[907/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvdiag.c.o
[908/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/cspoutput.cpp.o
[909/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/spfactor.cpp.o
[910/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvspgmr.c.o
[911/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idaband.c.o
[912/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvodesio.c.o
[913/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idabbdpre.c.o
[914/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvodea.c.o
[915/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/cspsolve.cpp.o
[916/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idadense.c.o
[917/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idaic.c.o
[918/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idaio.c.o
[919/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idaspgmr.c.o
[920/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/band.c.o
[921/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/dense.c.o
[922/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/iterative.c.o
[923/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/cspfactor.cpp.o
[924/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/nvector.c.o
[925/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/smalldense.c.o
[926/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/sundialsmath.c.o
[927/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/ida.c.o
[928/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/spgmr.c.o
[929/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/nvector_serial.c.o
[930/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/nvector_parallel.c.o
[931/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/csputils.cpp.o
[932/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnmpi/nrnmpi.cpp.o
[933/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nvector_nrnparallel_ld.cpp.o
[934/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnmpi/bbsmpipack.cpp.o
[935/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnmpi/mpispike.cpp.o
[936/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/nrnpython.cpp.o
[937/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/nrnpy_p2h.cpp.o
[938/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_marching_cubes.cpp.o
[939/1557] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvodes.c.o
[940/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_llgramarea.cpp.o
[941/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/nrnpy_nrn.cpp.o
[942/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/grids.cpp.o
[943/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivos/listimpl.cpp.o
[944/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivos/string.cpp.o
[945/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivos/observe.cpp.o
[946/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_extracellular.cpp.o
[947/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/nrnpy_hoc.cpp.o
[948/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_intracellular.cpp.o
[949/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivos/resource.cpp.o
[950/1557] Building CXX object src/nrniv/CMakeFiles/nrniv.dir/__/ivoc/nrnmain.cpp.o
[951/1557] Building CXX object src/nrniv/CMakeFiles/nrniv.dir/__/oc/ockludge.cpp.o
[952/1557] Building CXX object src/nrniv/CMakeFiles/nrniv.dir/__/oc/modlreg.cpp.o
[953/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivos/regexp.cpp.o
[954/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd.cpp.o
[955/1557] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_vol.cpp.o
[956/1557] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/basic.cpp.o
[957/1557] Linking CXX shared library lib/libnrniv.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
[958/1557] Generating inithoc.cpp
[959/1557] Generating nrnivmodl/1f5d8c6fccd77006001683046066d7e5979a5450fc886d813464561a8f8fd2c1/follower.mod
[960/1557] Generating nrnivmodl/1f5d8c6fccd77006001683046066d7e5979a5450fc886d813464561a8f8fd2c1/unitstest.mod
[961/1557] Generating nrnivmodl/1f5d8c6fccd77006001683046066d7e5979a5450fc886d813464561a8f8fd2c1/gap.mod
[962/1557] Generating nrnivmodl/1f5d8c6fccd77006001683046066d7e5979a5450fc886d813464561a8f8fd2c1/version_macros.mod
[963/1557] Generating nrnivmodl/fb5fb42ddab2ff650e8e4e789eb94701174e41ce7edaa51ad58d4fd93f798b51/ppxsrc.mod
[964/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/argpass.mod
[965/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cabpump.mod
[966/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cachan.mod
[967/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cacum.mod
[968/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cacur.mod
[969/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cadif.mod
[970/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cadifusl.mod
[971/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cagk.mod
[972/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cagkftab.mod
[973/1557] Linking CXX executable bin/nrniv
[974/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/capmp.mod
[975/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/expsynspine.mod
[976/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/fh.mod
[977/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/fit1.mod
[978/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/gap.mod
[979/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/hh1.mod
[980/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/hhvect.mod
[981/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/inatest.mod
[982/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/ionleak.mod
[983/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/nacum.mod
[984/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/nacur.mod
[985/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/nadifl.mod
[986/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/nonlin.mod
[987/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/obj_ex.mod
[988/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/order.mod
[989/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/passiv.mod
[990/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/rchan.mod
[991/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/standard.inc
[992/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/stim1.mod
[993/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/str.mod
[994/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/syn1.mod
[995/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/synpre.mod
[996/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/trivial.mod
[997/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstdarray.mod
[998/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstextrn.mod
[999/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstpnt1.mod
[1000/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstvec.mod
[1001/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstpnt2.mod
[1002/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/vclmp1.mod
[1003/1557] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/vlag.mod
[1004/1557] Generating nrnivmodl/0a9c588b2ccd04e5c212673c38bcea9f5e31a93a1f22ff6d0b36f0b0baf5928f/atest.mod
[1005/1557] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/oc/hoc_interpreter.cpp.o
[1006/1557] Generating nrnivmodl/a83e0cea8de94437d1b91dc48a064e885a010626fd9481d25031fd658902f7e9/follower.mod
[1007/1557] Generating nrnivmodl/a83e0cea8de94437d1b91dc48a064e885a010626fd9481d25031fd658902f7e9/gap.mod
[1008/1557] Generating nrnivmodl/a83e0cea8de94437d1b91dc48a064e885a010626fd9481d25031fd658902f7e9/unitstest.mod
[1009/1557] Generating nrnivmodl/a83e0cea8de94437d1b91dc48a064e885a010626fd9481d25031fd658902f7e9/version_macros.mod
[1010/1557] Running utility command for hoc_module
INFO:root:setup.py called with:setup.py build --cmake-build-dir /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build --disable-rx3d --without-nrnpython --build-lib=/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python build_ext --define=NRN_ASAN_ENABLED,USE_PYTHON,NRN_ENABLE_THREADS
INFO:root:Setting SDKROOT=/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk
WARNING:root:You are building a wheel with a Python built for macOS >=12.0.0. Your wheel won't run on older versions, consider using an official Python build from python.org
WARNING:root:Setting MACOSX_DEPLOYMENT_TARGET=12.0
INFO:root:Extension common compile flags defaultdict(<class 'list'>, {'library_dirs': ['/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib'], 'libraries': ['nrniv'], 'language': 'c++'})
INFO:root:RX3D is DISABLED
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/venv/lib/python3.10/site-packages/setuptools/__init__.py:84: _DeprecatedInstaller: setuptools.installer and fetch_build_eggs are deprecated.
!!
********************************************************************************
Requirements should be satisfied by a PEP 517 installer.
If you are using pip, you can try `pip install --use-pep517`.
********************************************************************************
!!
dist.fetch_build_eggs(dist.setup_requires)
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/venv/lib/python3.10/site-packages/setuptools_scm/git.py:135: UserWarning: "/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn" is shallow and may cause errors
warnings.warn(f'"{wd.path}" is shallow and may cause errors')
INFO:root:running build
INFO:root:running build_py
INFO:root:copying share/lib/python/neuron/hclass3.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/expect_hocerr.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/psection.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/config.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/units.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/sections.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/__init__.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/coreneuron.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/doc.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/gui.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/nonvint_block_supervisor.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:creating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/metadata.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/morphml.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/xml2nrn.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/__init__.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/neuroml.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/rdxml.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/biophysics.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:creating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/_subclass.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_all.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/__init__.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_neuron.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_vector.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_rxd.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:creating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/__init__.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/strtobool.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/checkresult.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:creating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxdException.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/options.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/plugins.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxdsection.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/species.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxdmath.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rate.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/region.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/multiCompartmentReaction.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/constants.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/dimension3.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/__init__.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/section1d.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/export.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/initializer.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rangevar.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/geometry.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxd.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/generalizedReaction.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/nodelist.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/node.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/gui.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/reaction.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:creating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/crxd
INFO:root:copying share/lib/python/neuron/crxd/__init__.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/crxd
INFO:root:creating /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/setup_threejs.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/config.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/__init__.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/rangevar.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/plotshape.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/utilities.py -> /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:running build_ext
INFO:root:==> Building Python extensions
INFO:root:building 'neuron.hoc' extension
INFO:root:/usr/bin/gcc -Wno-unused-result -Wsign-compare -Wunreachable-code -fno-common -dynamic -DNDEBUG -g -fwrapv -O3 -Wall -isysroot /Library/Developer/CommandLineTools/SDKs/MacOSX12.sdk -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -DNRN_ASAN_ENABLED=1 -DUSE_PYTHON=1 -DNRN_ENABLE_THREADS=1 -Isrc -Isrc/oc -Isrc/nrnpython -Isrc/nrnmpi -I/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/src -I/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/src/oc -I/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/src/nrnpython -I/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/src/nrnmpi -I/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/venv/include -I/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/include/python3.10 -c src/nrnpython/inithoc.cpp -o /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/src/nrnpython/inithoc.o -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -std=c++17
INFO:root:/usr/bin/g++ -bundle -undefined dynamic_lookup -isysroot /Library/Developer/CommandLineTools/SDKs/MacOSX12.sdk -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -Wl,-rpath,/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/src/nrnpython/inithoc.o -L/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib -lnrniv -o /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python/neuron/hoc.cpython-310-darwin.so -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -Wl,-rpath,/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin -Wl,-rpath,@loader_path/../../
ld: warning: -undefined dynamic_lookup may not work with chained fixups
INFO:root:running build_scripts
INFO:root:creating build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrniv -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrngui -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrniv-core -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrnpyenv.sh -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrnivmodl-core -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/neurondemo -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrnivmodl -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/modlunit -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/idraw -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/sortspike -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/mkthreadsafe -> build/scripts-3.10
INFO:root:changing mode of build/scripts-3.10/nrniv from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrngui from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrniv-core from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrnpyenv.sh from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrnivmodl-core from 644 to 755
INFO:root:changing mode of build/scripts-3.10/neurondemo from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrnivmodl from 644 to 755
INFO:root:changing mode of build/scripts-3.10/modlunit from 644 to 755
INFO:root:changing mode of build/scripts-3.10/idraw from 644 to 755
INFO:root:changing mode of build/scripts-3.10/sortspike from 644 to 755
INFO:root:changing mode of build/scripts-3.10/mkthreadsafe from 644 to 755
[1011/1557] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/cadif.mod
[1012/1557] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/disc.mod
[1013/1557] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/fornetcon.mod
[1014/1557] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/hhwatch.mod
[1015/1557] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/k3st.mod
[1016/1557] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/table.mod
[1017/1557] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/variabletypes.mod
[1018/1557] Building special[-core] for test group hoctests
/usr/bin/xcrun
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/0a9c588b2ccd04e5c212673c38bcea9f5e31a93a1f22ff6d0b36f0b0baf5928f
cfiles =
Mod files: "./atest.mod"
MODOBJS= ./atest.o
-> NMODL .././atest.mod
-> Compiling mod_func.cpp
Translating atest.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/0a9c588b2ccd04e5c212673c38bcea9f5e31a93a1f22ff6d0b36f0b0baf5928f/arm64/atest.cpp
Thread Safe
-> Compiling atest.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1019/1557] Generating hoctests/test_loadbal_hoc/expect_err.hoc
[1020/1557] Generating hoctests/test_loadbal_hoc/tests/test_loadbal.hoc
[1021/1557] Generating hoctests/perf1_hoc/expect_err.hoc
[1022/1557] Generating hoctests/perf1_hoc/vardimtests/perf1.hoc
[1023/1557] Generating hoctests/test1_hoc/expect_err.hoc
[1024/1557] Generating hoctests/test1_hoc/vardimtests/test1.hoc
[1025/1557] Generating hoctests/test2_hoc/expect_err.hoc
[1026/1557] Generating hoctests/test2_hoc/vardimtests/test2.hoc
[1027/1557] Generating hoctests/test3_hoc/expect_err.hoc
[1028/1557] Generating hoctests/test3_hoc/vardimtests/test3.hoc
[1029/1557] Generating hoctests/test4_hoc/expect_err.hoc
[1030/1557] Generating hoctests/test4_hoc/vardimtests/test4.hoc
[1031/1557] Generating hoctests/test5_hoc/expect_err.hoc
[1032/1557] Generating hoctests/test5_hoc/vardimtests/test5.hoc
[1033/1557] Generating hoctests/test6_hoc/expect_err.hoc
[1034/1557] Generating hoctests/test6_hoc/vardimtests/test6.hoc
[1035/1557] Generating hoctests/test7_hoc/expect_err.hoc
[1036/1557] Generating hoctests/test7_hoc/vardimtests/test7.hoc
[1037/1557] Building special[-core] for test group coverage_tests
/usr/bin/xcrun
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/fb5fb42ddab2ff650e8e4e789eb94701174e41ce7edaa51ad58d4fd93f798b51
cfiles =
Mod files: "./ppxsrc.mod"
MODOBJS= ./ppxsrc.o
-> Compiling mod_func.cpp
-> NMODL .././ppxsrc.mod
Translating ppxsrc.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/fb5fb42ddab2ff650e8e4e789eb94701174e41ce7edaa51ad58d4fd93f798b51/arm64/ppxsrc.cpp
Thread Safe
-> Compiling ppxsrc.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1038/1557] Generating hoctests/test8_hoc/expect_err.hoc
[1039/1557] Generating coverage_tests/cover_tests/test/cover/test_netcvode.json
[1040/1557] Generating coverage_tests/cover_tests/test/cover/test_netcvode.py
[1041/1557] Generating hoctests/test8_hoc/vardimtests/test8.hoc
[1042/1557] Generating hoctests/test9_hoc/expect_err.hoc
[1043/1557] Generating hoctests/test_kschan_py/tests/test_kschan.py
[1044/1557] Generating hoctests/test9_hoc/vardimtests/test9.hoc
[1045/1557] Generating hoctests/test_neurondemo_py/tests/test_neurondemo.json
[1046/1557] Generating hoctests/test_neurondemo_py/tests/test_neurondemo.py
[1047/1557] Generating hoctests/test_nrniv-launch_py/tests/test_nrniv-launch.py
[1048/1557] Generating hoctests/test_shape_py/tests/test_shape.py
[1049/1557] Generating hoctests/test1_py/vardimtests/test1.py
[1050/1557] Generating hoctests/test2_py/vardimtests/test2.py
[1051/1557] Generating hoctests/test9_py/vardimtests/test9.py
[1052/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/axial.inc
[1053/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/axial.mod
[1054/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/axial_pp.mod
[1055/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/bacur.mod
[1056/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/banocur.mod
[1057/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/fornetcon.mod
[1058/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/invlfire.mod
[1059/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/natrans.mod
[1060/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/netmove.mod
[1061/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/sample.mod
[1062/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/unitstest.mod
[1063/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/version_macros.mod
[1064/1557] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/watchrange.mod
[1065/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_Ca.mod
[1066/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_CadepK.mod
[1067/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_KA.mod
[1068/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_KDRf.mod
[1069/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_KDRs.mod
[1070/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_Na.mod
[1071/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/CaBK.mod
[1072/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/DGC_M.mod
[1073/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/DGC_UK.mod
[1074/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/DGC_sAHP.mod
[1075/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Gfluct3.mod
[1076/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/LcaMig.mod
[1077/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/SH_KIn.mod
[1078/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/SH_na8st.mod
[1079/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/bgka.mod
[1080/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/ccanl.mod
[1081/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/ggap.mod
[1082/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/gskch.mod
[1083/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/holdingi.mod
[1084/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/hyperde3.mod
[1085/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/ichan2.mod
[1086/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/iconc_Ca.mod
[1087/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/kcaPR.mod
[1088/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/na3n.mod
[1089/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/nca.mod
[1090/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/spines.mod
[1091/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/tca.mod
[1092/1557] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/vecevent.mod
[1093/1557] Building special[-core] for test group parallel
/usr/bin/xcrun
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/a83e0cea8de94437d1b91dc48a064e885a010626fd9481d25031fd658902f7e9
cfiles =
Mod files: "./follower.mod" "./gap.mod" "./unitstest.mod" "./version_macros.mod"
MODOBJS= ./follower.o ./gap.o ./unitstest.o ./version_macros.o
-> Compiling mod_func.cpp
-> NMODL .././follower.mod
-> NMODL .././gap.mod
-> NMODL .././unitstest.mod
Translating gap.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/a83e0cea8de94437d1b91dc48a064e885a010626fd9481d25031fd658902f7e9/arm64/gap.cpp
Thread Safe
Translating follower.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/a83e0cea8de94437d1b91dc48a064e885a010626fd9481d25031fd658902f7e9/arm64/follower.cpp
Thread Safe
Translating unitstest.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/a83e0cea8de94437d1b91dc48a064e885a010626fd9481d25031fd658902f7e9/arm64/unitstest.cpp
Thread Safe
-> NMODL .././version_macros.mod
-> Compiling follower.cpp
-> Compiling gap.cpp
Translating version_macros.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/a83e0cea8de94437d1b91dc48a064e885a010626fd9481d25031fd658902f7e9/arm64/version_macros.cpp
Thread Safe
-> Compiling unitstest.cpp
-> Compiling version_macros.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1094/1557] Generating parallel/subworld/test/parallel_tests/test_subworld.py
[1095/1557] Generating parallel/partrans/test/pynrn/test_partrans.py
[1096/1557] Generating parallel/netpar/test/pynrn/test_hoc_po.py
[1097/1557] Generating parallel/netpar/test/pynrn/test_netpar.py
[1098/1557] Generating parallel/bas/test/parallel_tests/test_bas.py
[1099/1557] Generating parallel/nrntest_fast/test/pynrn/run_pytest.py
[1100/1557] Generating parallel/nrntest_fast/test/pynrn/test_nrntest_fast.json
[1101/1557] Generating parallel/nrntest_fast/test/pynrn/test_nrntest_fast.py
[1102/1557] Generating ../../nrnivmodl/a9c54b18e4b54351cb4fd3e79067cafa02da4514b4383a54de8ff121657615ff/halfgap.mod
[1103/1557] Building special[-core] for test group nmodl_tests
/usr/bin/xcrun
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5
cfiles =
Mod files: "./cadif.mod" "./disc.mod" "./fornetcon.mod" "./hhwatch.mod" "./k3st.mod" "./table.mod" "./variabletypes.mod"
MODOBJS= ./cadif.o ./disc.o ./fornetcon.o ./hhwatch.o ./k3st.o ./table.o ./variabletypes.o
-> Compiling mod_func.cpp
-> NMODL .././cadif.mod
-> NMODL .././disc.mod
-> NMODL .././fornetcon.mod
Translating cadif.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/cadif.cpp
Thread Safe
-> NMODL .././hhwatch.mod
Translating fornetcon.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/fornetcon.cpp
Thread Safe
-> NMODL .././k3st.mod
Translating disc.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/disc.cpp
Notice: DISCRETE is not thread safe.
Notice: This mechanism cannot be used with CVODE
-> NMODL .././table.mod
Translating hhwatch.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/hhwatch.cpp
Thread Safe
Translating k3st.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/k3st.cpp
NEURON's CVode method ignores conservation
Thread Safe
-> NMODL .././variabletypes.mod
-> Compiling cadif.cpp
Translating table.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/table.cpp
Thread Safe
-> Compiling disc.cpp
Translating variabletypes.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/variabletypes.cpp
Thread Safe
-> Compiling fornetcon.cpp
-> Compiling hhwatch.cpp
-> Compiling k3st.cpp
-> Compiling table.cpp
-> Compiling variabletypes.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1104/1557] Generating nmodl_tests/test_table/test/nmodl/test_table.py
[1105/1557] Generating nmodl_tests/test_disc/test/nmodl/test_disc.py
[1106/1557] Generating nmodl_tests/test_function_table/test/nmodl/test_function_table.py
[1107/1557] Generating nmodl_tests/test_kinetic/test/nmodl/test_kinetic.py
[1108/1557] Generating nmodl_tests_coreneuron/test_table_coreneuron_cpu/test/nmodl/test_table.py
[1109/1557] Generating nmodl_tests_coreneuron/test_disc_coreneuron_cpu/test/nmodl/test_disc.py
[1110/1557] Generating nmodl_tests_coreneuron/test_function_table_coreneuron_cpu/test/nmodl/test_function_table.py
[1111/1557] Generating nmodl_tests_coreneuron/test_kinetic_coreneuron_cpu/test/nmodl/test_kinetic.py
[1112/1557] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/Gfluct3.mod
[1113/1557] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/hhderiv.mod
[1114/1557] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/hhkin.mod
[1115/1557] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/hhwatch.mod
[1116/1557] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/nacum.mod
[1117/1557] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/vecevent.mod
[1118/1557] Generating share/nrn/demo/release/arm64/special, share/nrn/demo/release/arm64/libnrnmech.dylib
/usr/bin/xcrun
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/nrn/demo/release
cfiles =
Mod files: "cabpump.mod" "cachan1.mod" "camchan.mod" "capump.mod" "invlfire.mod" "khhchan.mod" "mcna.mod" "nacaex.mod" "nachan.mod" "release.mod"
MODOBJS= ./cabpump.o ./cachan1.o ./camchan.o ./capump.o ./invlfire.o ./khhchan.o ./mcna.o ./nacaex.o ./nachan.o ./release.o
-> NMODL ../cabpump.mod
-> NMODL ../camchan.mod
-> NMODL ../cachan1.mod
-> Compiling mod_func.cpp
Translating cabpump.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/cabpump.cpp
Notice: Assignment to the GLOBAL variable, "vol", is not thread safe
Translating camchan.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/camchan.cpp
Thread Safe
-> NMODL ../capump.mod
Translating cachan1.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/cachan1.cpp
Thread Safe
-> NMODL ../invlfire.mod
-> NMODL ../khhchan.mod
Translating capump.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/capump.cpp
Thread Safe
Translating khhchan.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/khhchan.cpp
Translating invlfire.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/invlfire.cpp
Thread Safe
Thread Safe
-> NMODL ../mcna.mod
-> NMODL ../nachan.mod
-> NMODL ../nacaex.mod
Translating mcna.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/mcna.cpp
Translating nachan.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/nachan.cpp
Translating nacaex.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/nacaex.cpp
Thread Safe
Thread Safe
Thread Safe
-> NMODL ../release.mod
-> Compiling cabpump.cpp
-> Compiling cachan1.cpp
Translating release.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/release.cpp
Thread Safe
-> Compiling camchan.cpp
-> Compiling capump.cpp
-> Compiling invlfire.cpp
-> Compiling khhchan.cpp
-> Compiling mcna.cpp
-> Compiling nacaex.cpp
-> Compiling nachan.cpp
-> Compiling release.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1119/1557] Building CXX object test/CMakeFiles/nrn-benchmarks.dir/benchmarks/threads/test_multicore.cpp.o
[1120/1557] Building special[-core] for test group pynrn
/usr/bin/xcrun
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/1f5d8c6fccd77006001683046066d7e5979a5450fc886d813464561a8f8fd2c1
cfiles =
Mod files: "./follower.mod" "./gap.mod" "./unitstest.mod" "./version_macros.mod"
MODOBJS= ./follower.o ./gap.o ./unitstest.o ./version_macros.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
Translating arm64/corenrn/mod2c/exp2syn.mod into arm64/corenrn/mod2c//exp2syn.cpp
Translating arm64/corenrn/mod2c/follower.mod into arm64/corenrn/mod2c//follower.cpp
Translating arm64/corenrn/mod2c/expsyn.mod into arm64/corenrn/mod2c//expsyn.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/gap.mod into arm64/corenrn/mod2c//gap.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/hh.mod into arm64/corenrn/mod2c//hh.cpp
Translating arm64/corenrn/mod2c/netstim.mod into arm64/corenrn/mod2c//netstim.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Translating arm64/corenrn/mod2c/passive.mod into arm64/corenrn/mod2c//passive.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/pattern.mod into arm64/corenrn/mod2c//pattern.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Translating arm64/corenrn/mod2c/stim.mod into arm64/corenrn/mod2c//stim.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/unitstest.mod into arm64/corenrn/mod2c//unitstest.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/version_macros.mod into arm64/corenrn/mod2c//version_macros.cpp
Translating arm64/corenrn/mod2c/svclmp.mod into arm64/corenrn/mod2c//svclmp.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
arm64/corenrn/mod2c/gap.cpp:387:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
1 warning generated.
arm64/corenrn/mod2c/expsyn.cpp:529:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:606:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:468:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:563:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:640:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:501:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/hh.cpp:555:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:611:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:489:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
arm64/corenrn/mod2c/passive.cpp:342:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
1 warning generated.
arm64/corenrn/mod2c/stim.cpp:401:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/stim.cpp:328:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
arm64/corenrn/mod2c/svclmp.cpp:502:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:607:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:434:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/unitstest.cpp:480:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/unitstest.cpp:419:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
3 warnings generated.
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././follower.mod
-> NMODL .././gap.mod
-> NMODL .././unitstest.mod
Translating unitstest.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/1f5d8c6fccd77006001683046066d7e5979a5450fc886d813464561a8f8fd2c1/arm64/unitstest.cpp
Thread Safe
Translating follower.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/1f5d8c6fccd77006001683046066d7e5979a5450fc886d813464561a8f8fd2c1/arm64/follower.cpp
Thread Safe
-> NMODL .././version_macros.mod
-> Compiling follower.cpp
Translating gap.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/1f5d8c6fccd77006001683046066d7e5979a5450fc886d813464561a8f8fd2c1/arm64/gap.cpp
Thread Safe
-> Compiling unitstest.cpp
Translating version_macros.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/1f5d8c6fccd77006001683046066d7e5979a5450fc886d813464561a8f8fd2c1/arm64/version_macros.cpp
Thread Safe
-> Compiling gap.cpp
-> Compiling version_macros.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1121/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/run_pytest.py
[1122/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/gui_pycallobject.py
[1123/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_a_neuronoptions.py
[1124/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_basic.py
[1125/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_bbss.py
[1126/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_fast_imem.py
[1127/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_hoc_po.py
[1128/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_multigid.py
[1129/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_loadbal.py
[1130/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_netpar.py
[1131/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_nrnste.py
[1132/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_nlayer.py
[1133/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_nrntest_fast.py
[1134/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_nrntest_fast.json
[1135/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_partrans.py
[1136/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_pycallobject.py
[1137/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_py2nrnstring.py
[1138/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_pyobj.py
[1139/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_template_err.py
[1140/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_swc.py
[1141/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_units.py
[1142/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_version_macros.py
[1143/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_vector_api.py
[1144/1557] Generating pynrn/basic_tests_py3.10/test/pynrn/test_zptrlist.py
[1145/1557] Building special[-core] for test group external_ringtest
/usr/bin/xcrun
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/a9c54b18e4b54351cb4fd3e79067cafa02da4514b4383a54de8ff121657615ff
cfiles =
Mod files: "./halfgap.mod"
MODOBJS= ./halfgap.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
Translating arm64/corenrn/mod2c/exp2syn.mod into arm64/corenrn/mod2c//exp2syn.cpp
Translating arm64/corenrn/mod2c/expsyn.mod into arm64/corenrn/mod2c//expsyn.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/halfgap.mod into arm64/corenrn/mod2c//halfgap.cpp
Translating arm64/corenrn/mod2c/hh.mod into arm64/corenrn/mod2c//hh.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
Translating arm64/corenrn/mod2c/netstim.mod into arm64/corenrn/mod2c//netstim.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Translating arm64/corenrn/mod2c/passive.mod into arm64/corenrn/mod2c//passive.cpp
Translating arm64/corenrn/mod2c/pattern.mod into arm64/corenrn/mod2c//pattern.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Translating arm64/corenrn/mod2c/stim.mod into arm64/corenrn/mod2c//stim.cpp
Translating arm64/corenrn/mod2c/svclmp.mod into arm64/corenrn/mod2c//svclmp.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
arm64/corenrn/mod2c/exp2syn.cpp:563:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:640:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:501:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:529:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/halfgap.cpp:387:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:606:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:468:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:555:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:611:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:489:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
1 warning generated.
3 warnings generated.
3 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/passive.cpp:342:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
1 warning generated.
arm64/corenrn/mod2c/stim.cpp:401:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/stim.cpp:328:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
arm64/corenrn/mod2c/svclmp.cpp:502:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:607:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:434:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././halfgap.mod
Translating halfgap.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/a9c54b18e4b54351cb4fd3e79067cafa02da4514b4383a54de8ff121657615ff/arm64/halfgap.cpp
Thread Safe
-> Compiling halfgap.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1146/1557] Generating ../../external_ringtest/neuron/cell.hoc
[1147/1557] Generating ../../external_ringtest/neuron/args.py
[1148/1557] Generating ../../external_ringtest/neuron/celluniform.hoc
[1149/1557] Generating ../../external_ringtest/neuron/commonutils.py
[1150/1557] Generating ../../external_ringtest/neuron/ranparm.py
[1151/1557] Generating ../../external_ringtest/neuron/ringtest.py
[1152/1557] Generating ../../external_ringtest/neuron/ring.py
[1153/1557] Generating ../../external_ringtest/neuron/ringuniform.py
[1154/1557] Generating ../../external_ringtest/neuron/settings.py
[1155/1557] Generating ../../external_ringtest/neuron_mpi/args.py
[1156/1557] Generating ../../external_ringtest/neuron_mpi/cell.hoc
[1157/1557] Generating ../../external_ringtest/neuron_mpi/celluniform.hoc
[1158/1557] Generating ../../external_ringtest/neuron_mpi/commonutils.py
[1159/1557] Generating ../../external_ringtest/neuron_mpi/ring.py
[1160/1557] Generating ../../external_ringtest/neuron_mpi/ranparm.py
[1161/1557] Generating ../../external_ringtest/neuron_mpi/ringtest.py
[1162/1557] Generating ../../external_ringtest/neuron_mpi/ringuniform.py
[1163/1557] Generating ../../external_ringtest/neuron_mpi/settings.py
[1164/1557] Generating ../../external_ringtest/neuron_mpi_python/args.py
[1165/1557] Generating ../../external_ringtest/neuron_mpi_python/cell.hoc
[1166/1557] Generating ../../external_ringtest/neuron_mpi_python/commonutils.py
[1167/1557] Generating ../../external_ringtest/neuron_mpi_python/celluniform.hoc
[1168/1557] Generating ../../external_ringtest/neuron_mpi_python/ranparm.py
[1169/1557] Generating ../../external_ringtest/neuron_mpi_python/ring.py
[1170/1557] Generating ../../external_ringtest/neuron_mpi_python/ringtest.py
[1171/1557] Generating ../../external_ringtest/neuron_mpi_python/ringuniform.py
[1172/1557] Generating ../../external_ringtest/neuron_mpi_python/settings.py
[1173/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/args.py
[1174/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/cell.hoc
[1175/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/celluniform.hoc
[1176/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/commonutils.py
[1177/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/ranparm.py
[1178/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/ringtest.py
[1179/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/ring.py
[1180/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/ringuniform.py
[1181/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/settings.py
[1182/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi/celluniform.hoc
[1183/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi/args.py
[1184/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi/cell.hoc
[1185/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi/commonutils.py
[1186/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi/ring.py
[1187/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi/ringtest.py
[1188/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi/ranparm.py
[1189/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi/ringuniform.py
[1190/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/cell.hoc
[1191/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi/settings.py
[1192/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/args.py
[1193/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/celluniform.hoc
[1194/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/ranparm.py
[1195/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/commonutils.py
[1196/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/ring.py
[1197/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/ringtest.py
[1198/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/ringuniform.py
[1199/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/settings.py
[1200/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/cell.hoc
[1201/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/args.py
[1202/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/celluniform.hoc
[1203/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/commonutils.py
[1204/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/ranparm.py
[1205/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/ring.py
[1206/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/ringuniform.py
[1207/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/settings.py
[1208/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/ringtest.py
[1209/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/args.py
[1210/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/cell.hoc
[1211/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/celluniform.hoc
[1212/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/commonutils.py
[1213/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/ranparm.py
[1214/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/ring.py
[1215/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/ringtest.py
[1216/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/ringuniform.py
[1217/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/settings.py
[1218/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/cell.hoc
[1219/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/args.py
[1220/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/commonutils.py
[1221/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/ranparm.py
[1222/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/celluniform.hoc
[1223/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/ring.py
[1224/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/ringtest.py
[1225/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/ringuniform.py
[1226/1557] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/settings.py
[1227/1557] Building special[-core] for test group example_nmodl
/usr/bin/xcrun
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2
cfiles =
Mod files: "./argpass.mod" "./cabpump.mod" "./cachan.mod" "./cacum.mod" "./cacur.mod" "./cadif.mod" "./cadifusl.mod" "./cagk.mod" "./cagkftab.mod" "./capmp.mod" "./expsynspine.mod" "./fh.mod" "./fit1.mod" "./gap.mod" "./hh1.mod" "./hhvect.mod" "./inatest.mod" "./ionleak.mod" "./nacum.mod" "./nacur.mod" "./nadifl.mod" "./nonlin.mod" "./obj_ex.mod" "./order.mod" "./passiv.mod" "./rchan.mod" "./stim1.mod" "./str.mod" "./syn1.mod" "./synpre.mod" "./trivial.mod" "./tstdarray.mod" "./tstextrn.mod" "./tstpnt1.mod" "./tstpnt2.mod" "./tstvec.mod" "./vclmp1.mod" "./vlag.mod"
MODOBJS= ./argpass.o ./cabpump.o ./cachan.o ./cacum.o ./cacur.o ./cadif.o ./cadifusl.o ./cagk.o ./cagkftab.o ./capmp.o ./expsynspine.o ./fh.o ./fit1.o ./gap.o ./hh1.o ./hhvect.o ./inatest.o ./ionleak.o ./nacum.o ./nacur.o ./nadifl.o ./nonlin.o ./obj_ex.o ./order.o ./passiv.o ./rchan.o ./stim1.o ./str.o ./syn1.o ./synpre.o ./trivial.o ./tstdarray.o ./tstextrn.o ./tstpnt1.o ./tstpnt2.o ./tstvec.o ./vclmp1.o ./vlag.o
-> Compiling mod_func.cpp
-> NMODL .././argpass.mod
-> NMODL .././cabpump.mod
-> NMODL .././cachan.mod
Translating cachan.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cachan.cpp
Translating argpass.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/argpass.cpp
Notice: VERBATIM blocks are not thread safe
Thread Safe
Translating cabpump.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cabpump.cpp
Notice: Assignment to the GLOBAL variable, "vol", is not thread safe
-> NMODL .././cacum.mod
-> NMODL .././cacur.mod
-> NMODL .././cadif.mod
Translating cacur.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cacur.cpp
Thread Safe
Translating cacum.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cacum.cpp
Translating cadif.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cadif.cpp
Thread Safe
Thread Safe
-> NMODL .././cadifusl.mod
-> NMODL .././cagk.mod
-> NMODL .././cagkftab.mod
Translating cadifusl.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cadifusl.cpp
Notice: Assignment to the GLOBAL variable, "vol", is not thread safe
Translating cagkftab.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cagkftab.cpp
Translating cagk.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cagk.cpp
Thread Safe
Thread Safe
-> NMODL .././capmp.mod
-> NMODL .././expsynspine.mod
-> NMODL .././fh.mod
Translating capmp.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/capmp.cpp
NEURON's CVode method ignores conservation
Thread Safe
Translating expsynspine.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/expsynspine.cpp
Notice: LINEAR is not thread safe.
Translating fh.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/fh.cpp
INCLUDEing standard.inc
Notice: Assignment to the GLOBAL variable, "inf", is not thread safe
Notice: Assignment to the GLOBAL variable, "tau", is not thread safe
-> NMODL .././fit1.mod
-> NMODL .././gap.mod
-> NMODL .././hh1.mod
Translating fit1.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/fit1.cpp
Notice: VERBATIM blocks are not thread safe
Translating gap.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/gap.cpp
Notice: Use of POINTER is not thread safe.
-> NMODL .././inatest.mod
-> NMODL .././hhvect.mod
Translating hh1.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/hh1.cpp
Notice: This mechanism cannot be used with CVODE
Notice: Assignment to the GLOBAL variable, "hexp", is not thread safe
Notice: Assignment to the GLOBAL variable, "hinf", is not thread safe
Notice: Assignment to the GLOBAL variable, "mexp", is not thread safe
Notice: Assignment to the GLOBAL variable, "minf", is not thread safe
Notice: Assignment to the GLOBAL variable, "nexp", is not thread safe
Notice: Assignment to the GLOBAL variable, "ninf", is not thread safe
Warning: Default 6.3 of PARAMETER celsius will be ignored and set by NEURON.
Warning: Default -77.5 of PARAMETER ek will be ignored and set by NEURON.
Warning: Default 50 of PARAMETER ena will be ignored and set by NEURON.
-> NMODL .././ionleak.mod
Translating hhvect.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/hhvect.cpp
Notice: This mechanism cannot be used with CVODE
Thread Safe
Warning: Default 6.3 of PARAMETER celsius will be ignored and set by NEURON.
Warning: Default -77.5 of PARAMETER ek will be ignored and set by NEURON.
Warning: Default 50 of PARAMETER ena will be ignored and set by NEURON.
Translating inatest.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/inatest.cpp
Thread Safe
-> NMODL .././nacum.mod
-> NMODL .././nacur.mod
Translating ionleak.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/ionleak.cpp
Thread Safe
-> NMODL .././nadifl.mod
Translating nacum.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/nacum.cpp
Thread Safe
Translating nacur.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/nacur.cpp
Thread Safe
-> NMODL .././nonlin.mod
-> NMODL .././obj_ex.mod
Translating nadifl.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/nadifl.cpp
Thread Safe
-> NMODL .././order.mod
Translating obj_ex.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/obj_ex.cpp
Thread Safe
-> NMODL .././passiv.mod
Translating nonlin.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/nonlin.cpp
Notice: NONLINEAR is not thread safe.
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
-> NMODL .././rchan.mod
Translating order.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/order.cpp
Thread Safe
Translating passiv.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/passiv.cpp
Thread Safe
-> NMODL .././stim1.mod
-> NMODL .././str.mod
Translating rchan.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/rchan.cpp
Notice: normrand is not thread safe
Notice: This mechanism cannot be used with CVODE
-> NMODL .././syn1.mod
Translating stim1.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/stim1.cpp
Thread Safe
Translating str.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/str.cpp
Notice: VERBATIM blocks are not thread safe
-> NMODL .././synpre.mod
-> NMODL .././trivial.mod
Translating syn1.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/syn1.cpp
Thread Safe
-> NMODL .././tstdarray.mod
Translating synpre.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/synpre.cpp
Translating trivial.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/trivial.cpp
Notice: Use of POINTER is not thread safe.
Notice: VERBATIM blocks are not thread safe
Notice: This mechanism cannot be used with CVODE
-> NMODL .././tstextrn.mod
-> NMODL .././tstpnt1.mod
Translating tstdarray.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstdarray.cpp
Thread Safe
-> NMODL .././tstpnt2.mod
Translating tstpnt1.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstpnt1.cpp
Notice: Use of POINTER is not thread safe.
-> NMODL .././tstvec.mod
Translating tstextrn.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstextrn.cpp
Notice: Use of EXTERNAL is not thread safe.
-> NMODL .././vclmp1.mod
-> NMODL .././vlag.mod
Translating tstvec.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstvec.cpp
Notice: VERBATIM blocks are not thread safe
Translating vclmp1.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/vclmp1.cpp
Notice: VERBATIM blocks are not thread safe
Notice: This mechanism cannot be used with CVODE
Notice: LINEAR is not thread safe.
Translating tstpnt2.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstpnt2.cpp
Translating vlag.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/vlag.cpp
Thread Safe
-> Compiling argpass.cpp
-> Compiling cabpump.cpp
-> Compiling cachan.cpp
Notice: Use of POINTER is not thread safe.
-> Compiling cacum.cpp
-> Compiling cacur.cpp
-> Compiling cadif.cpp
-> Compiling cadifusl.cpp
-> Compiling cagk.cpp
-> Compiling cagkftab.cpp
-> Compiling capmp.cpp
-> Compiling expsynspine.cpp
-> Compiling fh.cpp
-> Compiling fit1.cpp
-> Compiling gap.cpp
-> Compiling hh1.cpp
-> Compiling hhvect.cpp
-> Compiling inatest.cpp
-> Compiling ionleak.cpp
-> Compiling nacum.cpp
-> Compiling nacur.cpp
-> Compiling nadifl.cpp
-> Compiling nonlin.cpp
-> Compiling obj_ex.cpp
-> Compiling order.cpp
-> Compiling passiv.cpp
-> Compiling rchan.cpp
-> Compiling stim1.cpp
-> Compiling str.cpp
-> Compiling syn1.cpp
-> Compiling synpre.cpp
-> Compiling trivial.cpp
-> Compiling tstdarray.cpp
-> Compiling tstextrn.cpp
-> Compiling tstpnt1.cpp
-> Compiling tstpnt2.cpp
-> Compiling tstvec.cpp
-> Compiling vclmp1.cpp
-> Compiling vlag.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1228/1557] Generating example_nmodl/ca_ap_hoc/ca_ap.hoc
[1229/1557] Generating example_nmodl/cachan_hoc/cachan.hoc
[1230/1557] Generating example_nmodl/cabpump_hoc/cabpump.hoc
[1231/1557] Generating example_nmodl/cadif_hoc/cadif.hoc
[1232/1557] Generating example_nmodl/cadif_hoc/cadif.ses
[1233/1557] Generating example_nmodl/cadifusl_hoc/cadifusl.hoc
[1234/1557] Generating example_nmodl/cagk_hoc/cagk.hoc
[1235/1557] Generating example_nmodl/cadifusl1_hoc/cadifusl1.hoc
[1236/1557] Generating example_nmodl/cadifusl2_hoc/cadifusl2.hoc
[1237/1557] Generating example_nmodl/capmp_hoc/capmp.hoc
[1238/1557] Generating example_nmodl/cv_hoc/cv.hoc
[1239/1557] Generating example_nmodl/gap_hoc/gap.hoc
[1240/1557] Generating example_nmodl/fh_hoc/fh.hoc
[1241/1557] Generating example_nmodl/hhvect_hoc/hhvect.hoc
[1242/1557] Generating example_nmodl/fit1_hoc/fit1.hoc
[1243/1557] Generating example_nmodl/hh1_hoc/hh1.hoc
[1244/1557] Generating example_nmodl/nacum_hoc/nacum.hoc
[1245/1557] Generating example_nmodl/nadifl1_hoc/nadifl1.ses
[1246/1557] Generating example_nmodl/nadifl2_hoc/nadifl2.hoc
[1247/1557] Generating example_nmodl/nadifl1_hoc/nadifl1.hoc
[1248/1557] Generating example_nmodl/nadifl2_hoc/nadifl2.ses
[1249/1557] Generating example_nmodl/nadifl3_hoc/nadifl3.hoc
[1250/1557] Generating example_nmodl/nadifl3_hoc/nadifl3.ses
[1251/1557] Generating example_nmodl/nadifl4_hoc/nadifl4.hoc
[1252/1557] Generating example_nmodl/nadifl4_hoc/nadifl4.ses
[1253/1557] Generating example_nmodl/order_hoc/order.hoc
[1254/1557] Generating example_nmodl/obj_ex_hoc/obj_ex.hoc
[1255/1557] Generating example_nmodl/passiv_hoc/passiv.hoc
[1256/1557] Generating example_nmodl/stim1_hoc/stim1.hoc
[1257/1557] Generating example_nmodl/syn1_hoc/syn1.hoc
[1258/1557] Generating example_nmodl/synpre_hoc/synpre.hoc
[1259/1557] Generating example_nmodl/tstpnt1_hoc/tstpnt1.hoc
[1260/1557] Generating example_nmodl/tstextrn_hoc/tstextrn.hoc
[1261/1557] Generating example_nmodl/cagkftab_py/cagkftab.py
[1262/1557] Generating example_nmodl/tstpnt2_hoc/tstpnt2.hoc
[1263/1557] Generating example_nmodl/tstvec_hoc/tstvec.hoc
[1264/1557] Generating example_nmodl/expsynspine_py/expsynspine.py
[1265/1557] Generating example_nmodl/expsynspine_py/expsynspine.ses
[1266/1557] Generating example_nmodl/nonlin_py/nonlin.py
[1267/1557] Generating example_nmodl/tstpnt1_py/tstpnt1.py
[1268/1557] Generating example_nmodl/tstpnt2_py/tstpnt2.py
[1269/1557] Generating example_nmodl/vlag_py/vlag.py
[1270/1557] Building special[-core] for test group coreneuron_modtests
/usr/bin/xcrun
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056
cfiles =
Mod files: "./axial.mod" "./axial_pp.mod" "./bacur.mod" "./banocur.mod" "./fornetcon.mod" "./invlfire.mod" "./natrans.mod" "./netmove.mod" "./sample.mod" "./unitstest.mod" "./version_macros.mod" "./watchrange.mod"
MODOBJS= ./axial.o ./axial_pp.o ./bacur.o ./banocur.o ./fornetcon.o ./invlfire.o ./natrans.o ./netmove.o ./sample.o ./unitstest.o ./version_macros.o ./watchrange.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
Translating arm64/corenrn/mod2c/axial.mod into arm64/corenrn/mod2c//axial.cpp
INCLUDEing arm64/corenrn/mod2c/axial.inc
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/axial_pp.mod into arm64/corenrn/mod2c//axial_pp.cpp
INCLUDEing arm64/corenrn/mod2c/axial.inc
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/bacur.mod into arm64/corenrn/mod2c//bacur.cpp
Warning: v undefined. (declared within VERBATIM?)
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/exp2syn.mod into arm64/corenrn/mod2c//exp2syn.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/banocur.mod into arm64/corenrn/mod2c//banocur.cpp
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
Notice: This mechanism cannot be used with CVODE
Thread Safe
cvode_emit=0 cvode_not_allowed=1
Translating arm64/corenrn/mod2c/expsyn.mod into arm64/corenrn/mod2c//expsyn.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/hh.mod into arm64/corenrn/mod2c//hh.cpp
Translating arm64/corenrn/mod2c/natrans.mod into arm64/corenrn/mod2c//natrans.cpp
Translating arm64/corenrn/mod2c/invlfire.mod into arm64/corenrn/mod2c//invlfire.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/fornetcon.mod into arm64/corenrn/mod2c//fornetcon.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/netstim.mod into arm64/corenrn/mod2c//netstim.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Translating arm64/corenrn/mod2c/passive.mod into arm64/corenrn/mod2c//passive.cpp
Translating arm64/corenrn/mod2c/netmove.mod into arm64/corenrn/mod2c//netmove.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/sample.mod into arm64/corenrn/mod2c//sample.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/pattern.mod into arm64/corenrn/mod2c//pattern.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Translating arm64/corenrn/mod2c/svclmp.mod into arm64/corenrn/mod2c//svclmp.cpp
Translating arm64/corenrn/mod2c/unitstest.mod into arm64/corenrn/mod2c//unitstest.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/watchrange.mod into arm64/corenrn/mod2c//watchrange.cpp
Translating arm64/corenrn/mod2c/stim.mod into arm64/corenrn/mod2c//stim.cpp
Translating arm64/corenrn/mod2c/version_macros.mod into arm64/corenrn/mod2c//version_macros.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
arm64/corenrn/mod2c/bacur.cpp:627:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/bacur.cpp:673:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/bacur.cpp:565:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/bacur.cpp:363:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/bacur.cpp:395:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/bacur.cpp:426:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/bacur.cpp:485:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/bacur.cpp:516:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/banocur.cpp:641:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/banocur.cpp:548:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/banocur.cpp:351:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/banocur.cpp:383:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/banocur.cpp:414:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/banocur.cpp:467:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/banocur.cpp:498:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
7 warnings generated.
8 warnings generated.
arm64/corenrn/mod2c/exp2syn.cpp:563:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:640:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:501:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:529:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:606:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:468:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:555:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:611:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:489:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/fornetcon.cpp:552:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
3 warnings generated.
3 warnings generated.
1 warning generated.
arm64/corenrn/mod2c/netmove.cpp:673:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/netmove.cpp:577:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
arm64/corenrn/mod2c/passive.cpp:342:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
1 warning generated.
arm64/corenrn/mod2c/stim.cpp:401:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/stim.cpp:328:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
arm64/corenrn/mod2c/svclmp.cpp:502:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:607:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:434:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
arm64/corenrn/mod2c/unitstest.cpp:480:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/unitstest.cpp:419:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
arm64/corenrn/mod2c/watchrange.cpp:835:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/watchrange.cpp:651:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././axial.mod
-> NMODL .././axial_pp.mod
-> NMODL .././bacur.mod
Translating axial_pp.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/axial_pp.cpp
Translating axial.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/axial.cpp
Translating bacur.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/bacur.cpp
INCLUDEing axial.inc
INCLUDEing axial.inc
Thread Safe
Thread Safe
Thread Safe
-> NMODL .././banocur.mod
-> NMODL .././fornetcon.mod
-> NMODL .././invlfire.mod
Translating banocur.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/banocur.cpp
Translating fornetcon.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/fornetcon.cpp
Notice: This mechanism cannot be used with CVODE
Thread Safe
Thread Safe
Translating invlfire.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/invlfire.cpp
Thread Safe
-> NMODL .././natrans.mod
-> NMODL .././netmove.mod
-> NMODL .././sample.mod
Translating natrans.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/natrans.cpp
Thread Safe
Translating netmove.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/netmove.cpp
Thread Safe
Translating sample.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/sample.cpp
Thread Safe
-> NMODL .././unitstest.mod
-> NMODL .././version_macros.mod
-> NMODL .././watchrange.mod
Translating unitstest.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/unitstest.cpp
Thread Safe
Translating version_macros.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/version_macros.cpp
Thread Safe
-> Compiling axial.cpp
Translating watchrange.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/watchrange.cpp
Thread Safe
-> Compiling axial_pp.cpp
-> Compiling bacur.cpp
-> Compiling banocur.cpp
-> Compiling fornetcon.cpp
-> Compiling invlfire.cpp
-> Compiling natrans.cpp
-> Compiling netmove.cpp
-> Compiling sample.cpp
-> Compiling unitstest.cpp
-> Compiling version_macros.cpp
-> Compiling watchrange.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1271/1557] Generating coreneuron_modtests/version_macros/test/pynrn/test_version_macros.py
[1272/1557] Generating coreneuron_modtests/direct_py_cpu/test/coreneuron/test_direct.py
[1273/1557] Generating coreneuron_modtests/fornetcon_py_cpu/test/coreneuron/test_fornetcon.py
[1274/1557] Generating coreneuron_modtests/direct_hoc_cpu/test/coreneuron/test_direct.hoc
[1275/1557] Generating coreneuron_modtests/spikes_py_cpu/test/coreneuron/test_spikes.py
[1276/1557] Generating coreneuron_modtests/spikes_file_mode_py_cpu/test/coreneuron/test_spikes.py
[1277/1557] Generating coreneuron_modtests/fast_imem_py_cpu/test/pynrn/test_fast_imem.py
[1278/1557] Generating coreneuron_modtests/test_units_py_cpu/test/coreneuron/test_units.py
[1279/1557] Generating coreneuron_modtests/datareturn_py_cpu/test/coreneuron/test_datareturn.py
[1280/1557] Generating coreneuron_modtests/test_netmove_py_cpu/test/coreneuron/test_netmove.py
[1281/1557] Generating coreneuron_modtests/test_watchrange_py_cpu/test/coreneuron/test_watchrange.py
[1282/1557] Generating coreneuron_modtests/test_pointer_py_cpu/test/coreneuron/test_pointer.py
[1283/1557] Generating coreneuron_modtests/test_psolve_py_cpu/test/coreneuron/test_psolve.py
[1284/1557] Generating coreneuron_modtests/spikes_mpi_file_mode_py_cpu/test/coreneuron/test_spikes.py
[1285/1557] Generating coreneuron_modtests/test_ba_py_cpu/test/coreneuron/test_ba.py
[1286/1557] Generating coreneuron_modtests/inputpresyn_py_cpu/test/coreneuron/test_spikes.py
[1287/1557] Generating coreneuron_modtests/test_natrans_py_cpu/test/gjtests/test_natrans.py
[1288/1557] Generating coreneuron_modtests/test_subworlds_py_cpu/test/coreneuron/test_subworlds.py
[1289/1557] Building special[-core] for test group testcorenrn_bbcore
/usr/bin/xcrun
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876
cfiles =
Mod files: "./Gfluct3.mod" "./hhderiv.mod" "./hhkin.mod" "./hhwatch.mod" "./nacum.mod" "./vecevent.mod"
MODOBJS= ./Gfluct3.o ./hhderiv.o ./hhkin.o ./hhwatch.o ./nacum.o ./vecevent.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
Translating arm64/corenrn/mod2c/Gfluct3.mod into arm64/corenrn/mod2c//Gfluct3.cpp
Translating arm64/corenrn/mod2c/exp2syn.mod into arm64/corenrn/mod2c//exp2syn.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/expsyn.mod into arm64/corenrn/mod2c//expsyn.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
Translating arm64/corenrn/mod2c/hh.mod into arm64/corenrn/mod2c//hh.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/hhderiv.mod into arm64/corenrn/mod2c//hhderiv.cpp
Translating arm64/corenrn/mod2c/hhkin.mod into arm64/corenrn/mod2c//hhkin.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/nacum.mod into arm64/corenrn/mod2c//nacum.cpp
Translating arm64/corenrn/mod2c/hhwatch.mod into arm64/corenrn/mod2c//hhwatch.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/netstim.mod into arm64/corenrn/mod2c//netstim.cpp
Translating arm64/corenrn/mod2c/passive.mod into arm64/corenrn/mod2c//passive.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Translating arm64/corenrn/mod2c/stim.mod into arm64/corenrn/mod2c//stim.cpp
Translating arm64/corenrn/mod2c/pattern.mod into arm64/corenrn/mod2c//pattern.cpp
Translating arm64/corenrn/mod2c/svclmp.mod into arm64/corenrn/mod2c//svclmp.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/vecevent.mod into arm64/corenrn/mod2c//vecevent.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
arm64/corenrn/mod2c/hh.cpp:555:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:611:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:489:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:563:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:640:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:501:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:529:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:606:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:468:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Gfluct3.cpp:994:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Gfluct3.cpp:922:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Gfluct3.cpp:490:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
3 warnings generated.
3 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/hhwatch.cpp:763:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hhwatch.cpp:683:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hhwatch.cpp:547:3: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hhderiv.cpp:661:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hhderiv.cpp:717:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hhderiv.cpp:591:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hhkin.cpp:730:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hhkin.cpp:786:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hhkin.cpp:664:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/nacum.cpp:470:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/nacum.cpp:365:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
2 warnings generated.
3 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/passive.cpp:342:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
1 warning generated.
arm64/corenrn/mod2c/stim.cpp:401:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/stim.cpp:328:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
arm64/corenrn/mod2c/svclmp.cpp:502:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:607:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:434:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././Gfluct3.mod
-> NMODL .././hhderiv.mod
-> NMODL .././hhkin.mod
Translating Gfluct3.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/Gfluct3.cpp
Translating hhderiv.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/hhderiv.cpp
Translating hhkin.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/hhkin.cpp
Thread Safe
Thread Safe
Thread Safe
-> NMODL .././nacum.mod
-> NMODL .././hhwatch.mod
-> NMODL .././vecevent.mod
Translating nacum.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/nacum.cpp
Translating vecevent.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/vecevent.cpp
Translating hhwatch.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/hhwatch.cpp
Thread Safe
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Thread Safe
-> Compiling Gfluct3.cpp
-> Compiling hhderiv.cpp
-> Compiling hhkin.cpp
-> Compiling hhwatch.cpp
-> Compiling nacum.cpp
-> Compiling vecevent.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1290/1557] Generating ../../testcorenrn_bbcore/neuron/testbbcore.hoc
[1291/1557] Generating ../../testcorenrn_bbcore/neuron/common.hoc
[1292/1557] Generating ../../testcorenrn_bbcore/neuron/defvar.hoc
[1293/1557] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online/testbbcore.hoc
[1294/1557] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online/common.hoc
[1295/1557] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online_psolve_alternate/testbbcore.hoc
[1296/1557] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online/defvar.hoc
[1297/1557] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online_psolve_alternate/common.hoc
[1298/1557] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1299/1557] Generating ../../testcorenrn_bbcore/coreneuron_cpu_offline/defvar.hoc
[1300/1557] Generating ../../testcorenrn_bbcore/coreneuron_cpu_offline/testbbcore.hoc
[1301/1557] Generating ../../testcorenrn_conc/coreneuron_cpu_online/common.hoc
[1302/1557] Generating ../../testcorenrn_bbcore/coreneuron_cpu_offline/common.hoc
[1303/1557] Generating ../../testcorenrn_conc/neuron/common.hoc
[1304/1557] Generating ../../testcorenrn_conc/neuron/defvar.hoc
[1305/1557] Generating ../../testcorenrn_conc/neuron/testconc.hoc
[1306/1557] Generating ../../testcorenrn_conc/coreneuron_cpu_online/defvar.hoc
[1307/1557] Generating ../../testcorenrn_conc/coreneuron_cpu_online/testconc.hoc
[1308/1557] Generating ../../testcorenrn_conc/coreneuron_cpu_online_psolve_alternate/common.hoc
[1309/1557] Generating ../../testcorenrn_conc/coreneuron_cpu_offline/defvar.hoc
[1310/1557] Generating ../../testcorenrn_conc/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1311/1557] Generating ../../testcorenrn_conc/coreneuron_cpu_online_psolve_alternate/testconc.hoc
[1312/1557] Generating ../../testcorenrn_conc/coreneuron_cpu_offline/common.hoc
[1313/1557] Generating ../../testcorenrn_conc/coreneuron_cpu_offline/testconc.hoc
[1314/1557] Generating ../../testcorenrn_deriv/neuron/common.hoc
[1315/1557] Generating ../../testcorenrn_deriv/coreneuron_cpu_online/defvar.hoc
[1316/1557] Generating ../../testcorenrn_deriv/neuron/defvar.hoc
[1317/1557] Generating ../../testcorenrn_deriv/coreneuron_cpu_online/testderiv.hoc
[1318/1557] Generating ../../testcorenrn_deriv/neuron/testderiv.hoc
[1319/1557] Generating ../../testcorenrn_deriv/coreneuron_cpu_online/common.hoc
[1320/1557] Generating ../../testcorenrn_deriv/coreneuron_cpu_online_psolve_alternate/common.hoc
[1321/1557] Generating ../../testcorenrn_deriv/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1322/1557] Generating ../../testcorenrn_deriv/coreneuron_cpu_offline/common.hoc
[1323/1557] Generating ../../testcorenrn_deriv/coreneuron_cpu_offline/testderiv.hoc
[1324/1557] Generating ../../testcorenrn_deriv/coreneuron_cpu_online_psolve_alternate/testderiv.hoc
[1325/1557] Generating ../../testcorenrn_gf/neuron/common.hoc
[1326/1557] Generating ../../testcorenrn_gf/neuron/defvar.hoc
[1327/1557] Generating ../../testcorenrn_deriv/coreneuron_cpu_offline/defvar.hoc
[1328/1557] Generating ../../testcorenrn_gf/neuron/testgf.hoc
[1329/1557] Generating ../../testcorenrn_gf/coreneuron_cpu_online/common.hoc
[1330/1557] Generating ../../testcorenrn_gf/coreneuron_cpu_online/testgf.hoc
[1331/1557] Generating ../../testcorenrn_gf/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1332/1557] Generating ../../testcorenrn_gf/coreneuron_cpu_online/defvar.hoc
[1333/1557] Generating ../../testcorenrn_gf/coreneuron_cpu_online_psolve_alternate/common.hoc
[1334/1557] Generating ../../testcorenrn_gf/coreneuron_cpu_online_psolve_alternate/testgf.hoc
[1335/1557] Generating ../../testcorenrn_gf/coreneuron_cpu_offline/common.hoc
[1336/1557] Generating ../../testcorenrn_gf/coreneuron_cpu_offline/defvar.hoc
[1337/1557] Generating ../../testcorenrn_kin/neuron/common.hoc
[1338/1557] Generating ../../testcorenrn_kin/neuron/defvar.hoc
[1339/1557] Generating ../../testcorenrn_kin/neuron/testkin.hoc
[1340/1557] Generating ../../testcorenrn_gf/coreneuron_cpu_offline/testgf.hoc
[1341/1557] Generating ../../testcorenrn_kin/coreneuron_cpu_online/common.hoc
[1342/1557] Generating ../../testcorenrn_kin/coreneuron_cpu_online/defvar.hoc
[1343/1557] Generating ../../testcorenrn_kin/coreneuron_cpu_online/testkin.hoc
[1344/1557] Generating ../../testcorenrn_kin/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1345/1557] Generating ../../testcorenrn_kin/coreneuron_cpu_offline/common.hoc
[1346/1557] Generating ../../testcorenrn_kin/coreneuron_cpu_online_psolve_alternate/common.hoc
[1347/1557] Generating ../../testcorenrn_kin/coreneuron_cpu_online_psolve_alternate/testkin.hoc
[1348/1557] Generating ../../testcorenrn_kin/coreneuron_cpu_offline/testkin.hoc
[1349/1557] Generating ../../testcorenrn_kin/coreneuron_cpu_offline/defvar.hoc
[1350/1557] Generating ../../testcorenrn_patstim/neuron/defvar.hoc
[1351/1557] Generating ../../testcorenrn_patstim/neuron/testpatstim.hoc
[1352/1557] Generating ../../testcorenrn_patstim/neuron/common.hoc
[1353/1557] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline_saverestore/common.hoc
[1354/1557] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline_saverestore/defvar.hoc
[1355/1557] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline_saverestore/testpatstim.hoc
[1356/1557] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline/defvar.hoc
[1357/1557] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline/common.hoc
[1358/1557] Generating ../../testcorenrn_vecplay/neuron/testvecplay.hoc
[1359/1557] Generating ../../testcorenrn_vecplay/neuron/common.hoc
[1360/1557] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline/testpatstim.hoc
[1361/1557] Generating ../../testcorenrn_vecplay/neuron/defvar.hoc
[1362/1557] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online/common.hoc
[1363/1557] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online/defvar.hoc
[1364/1557] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online_psolve_alternate/testvecplay.hoc
[1365/1557] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online/testvecplay.hoc
[1366/1557] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online_psolve_alternate/common.hoc
[1367/1557] Generating ../../testcorenrn_vecplay/coreneuron_cpu_offline/common.hoc
[1368/1557] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1369/1557] Generating ../../testcorenrn_vecevent/neuron/defvar.hoc
[1370/1557] Generating ../../testcorenrn_vecplay/coreneuron_cpu_offline/defvar.hoc
[1371/1557] Generating ../../testcorenrn_vecevent/neuron/common.hoc
[1372/1557] Generating ../../testcorenrn_vecplay/coreneuron_cpu_offline/testvecplay.hoc
[1373/1557] Generating ../../testcorenrn_vecevent/neuron/testvecevent.hoc
[1374/1557] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online/defvar.hoc
[1375/1557] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online_psolve_alternate/common.hoc
[1376/1557] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online/common.hoc
[1377/1557] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online/testvecevent.hoc
[1378/1557] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1379/1557] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online_psolve_alternate/testvecevent.hoc
[1380/1557] Generating ../../testcorenrn_vecevent/coreneuron_cpu_offline/common.hoc
[1381/1557] Generating ../../testcorenrn_vecevent/coreneuron_cpu_offline/defvar.hoc
[1382/1557] Generating ../../testcorenrn_vecevent/coreneuron_cpu_offline/testvecevent.hoc
[1383/1557] Generating ../../testcorenrn_watch/neuron/common.hoc
[1384/1557] Generating ../../testcorenrn_watch/neuron/testwatch.hoc
[1385/1557] Generating ../../testcorenrn_watch/coreneuron_cpu_online/defvar.hoc
[1386/1557] Generating ../../testcorenrn_watch/neuron/defvar.hoc
[1387/1557] Generating ../../testcorenrn_watch/coreneuron_cpu_online/common.hoc
[1388/1557] Generating ../../testcorenrn_watch/coreneuron_cpu_online/testwatch.hoc
[1389/1557] Generating ../../testcorenrn_watch/coreneuron_cpu_online_psolve_alternate/common.hoc
[1390/1557] Generating ../../testcorenrn_watch/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1391/1557] Generating ../../testcorenrn_watch/coreneuron_cpu_online_psolve_alternate/testwatch.hoc
[1392/1557] Generating ../../testcorenrn_watch/coreneuron_cpu_offline/common.hoc
[1393/1557] Generating ../../testcorenrn_watch/coreneuron_cpu_offline/defvar.hoc
[1394/1557] Generating ../../testcorenrn_watch/coreneuron_cpu_offline/testwatch.hoc
[1395/1557] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect/defvar.hoc
[1396/1557] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect/common.hoc
[1397/1557] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect_psolve_alternate/common.hoc
[1398/1557] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect_psolve_alternate/defvar.hoc
[1399/1557] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect/testnetstimdirect.hoc
[1400/1557] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect_psolve_alternate/testnetstimdirect.hoc
[1401/1557] Building special[-core] for test group reduced_dentate
/usr/bin/xcrun
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead
cfiles =
Mod files: "./Aradi_Ca.mod" "./Aradi_CadepK.mod" "./Aradi_KA.mod" "./Aradi_KDRf.mod" "./Aradi_KDRs.mod" "./Aradi_Na.mod" "./CaBK.mod" "./DGC_M.mod" "./DGC_UK.mod" "./DGC_sAHP.mod" "./Gfluct3.mod" "./LcaMig.mod" "./SH_KIn.mod" "./SH_na8st.mod" "./bgka.mod" "./ccanl.mod" "./ggap.mod" "./gskch.mod" "./holdingi.mod" "./hyperde3.mod" "./ichan2.mod" "./iconc_Ca.mod" "./kcaPR.mod" "./na3n.mod" "./nca.mod" "./spines.mod" "./tca.mod" "./vecevent.mod"
MODOBJS= ./Aradi_Ca.o ./Aradi_CadepK.o ./Aradi_KA.o ./Aradi_KDRf.o ./Aradi_KDRs.o ./Aradi_Na.o ./CaBK.o ./DGC_M.o ./DGC_UK.o ./DGC_sAHP.o ./Gfluct3.o ./LcaMig.o ./SH_KIn.o ./SH_na8st.o ./bgka.o ./ccanl.o ./ggap.o ./gskch.o ./holdingi.o ./hyperde3.o ./ichan2.o ./iconc_Ca.o ./kcaPR.o ./na3n.o ./nca.o ./spines.o ./tca.o ./vecevent.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
Translating arm64/corenrn/mod2c/Aradi_Ca.mod into arm64/corenrn/mod2c//Aradi_Ca.cpp
Translating arm64/corenrn/mod2c/Aradi_CadepK.mod into arm64/corenrn/mod2c//Aradi_CadepK.cpp
Translating arm64/corenrn/mod2c/Aradi_KA.mod into arm64/corenrn/mod2c//Aradi_KA.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/Aradi_KDRf.mod into arm64/corenrn/mod2c//Aradi_KDRf.cpp
Translating arm64/corenrn/mod2c/Aradi_KDRs.mod into arm64/corenrn/mod2c//Aradi_KDRs.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/Aradi_Na.mod into arm64/corenrn/mod2c//Aradi_Na.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/CaBK.mod into arm64/corenrn/mod2c//CaBK.cpp
Translating arm64/corenrn/mod2c/DGC_M.mod into arm64/corenrn/mod2c//DGC_M.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/DGC_UK.mod into arm64/corenrn/mod2c//DGC_UK.cpp
Translating arm64/corenrn/mod2c/DGC_sAHP.mod into arm64/corenrn/mod2c//DGC_sAHP.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/LcaMig.mod into arm64/corenrn/mod2c//LcaMig.cpp
Translating arm64/corenrn/mod2c/SH_KIn.mod into arm64/corenrn/mod2c//SH_KIn.cpp
Translating arm64/corenrn/mod2c/Gfluct3.mod into arm64/corenrn/mod2c//Gfluct3.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/SH_na8st.mod into arm64/corenrn/mod2c//SH_na8st.cpp
NEURON's CVode method ignores conservation
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/bgka.mod into arm64/corenrn/mod2c//bgka.cpp
Translating arm64/corenrn/mod2c/ccanl.mod into arm64/corenrn/mod2c//ccanl.cpp
Translating arm64/corenrn/mod2c/exp2syn.mod into arm64/corenrn/mod2c//exp2syn.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/expsyn.mod into arm64/corenrn/mod2c//expsyn.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/ggap.mod into arm64/corenrn/mod2c//ggap.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/gskch.mod into arm64/corenrn/mod2c//gskch.cpp
Translating arm64/corenrn/mod2c/hh.mod into arm64/corenrn/mod2c//hh.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/holdingi.mod into arm64/corenrn/mod2c//holdingi.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/hyperde3.mod into arm64/corenrn/mod2c//hyperde3.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/ichan2.mod into arm64/corenrn/mod2c//ichan2.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/iconc_Ca.mod into arm64/corenrn/mod2c//iconc_Ca.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/kcaPR.mod into arm64/corenrn/mod2c//kcaPR.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/na3n.mod into arm64/corenrn/mod2c//na3n.cpp
Translating arm64/corenrn/mod2c/nca.mod into arm64/corenrn/mod2c//nca.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/netstim.mod into arm64/corenrn/mod2c//netstim.cpp
Translating arm64/corenrn/mod2c/passive.mod into arm64/corenrn/mod2c//passive.cpp
Thread Safe
Thread Safe
cvode_emit=0 cvode_not_allowed=0
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Translating arm64/corenrn/mod2c/spines.mod into arm64/corenrn/mod2c//spines.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/pattern.mod into arm64/corenrn/mod2c//pattern.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Translating arm64/corenrn/mod2c/stim.mod into arm64/corenrn/mod2c//stim.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/svclmp.mod into arm64/corenrn/mod2c//svclmp.cpp
Translating arm64/corenrn/mod2c/tca.mod into arm64/corenrn/mod2c//tca.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Translating arm64/corenrn/mod2c/vecevent.mod into arm64/corenrn/mod2c//vecevent.cpp
Thread Safe
cvode_emit=0 cvode_not_allowed=0
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
arm64/corenrn/mod2c/Aradi_KA.cpp:494:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_KA.cpp:544:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_KA.cpp:434:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_KDRf.cpp:482:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_KDRf.cpp:532:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_KDRf.cpp:422:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_CadepK.cpp:763:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_CadepK.cpp:815:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_CadepK.cpp:694:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_Ca.cpp:1006:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_Ca.cpp:1056:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_Ca.cpp:939:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
3 warnings generated.
3 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/Aradi_Na.cpp:469:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_Na.cpp:520:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_Na.cpp:408:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_KDRs.cpp:471:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_KDRs.cpp:521:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Aradi_KDRs.cpp:411:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/CaBK.cpp:627:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/CaBK.cpp:681:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/CaBK.cpp:564:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/DGC_M.cpp:633:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/DGC_M.cpp:683:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/DGC_M.cpp:573:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/DGC_UK.cpp:580:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/DGC_UK.cpp:627:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/DGC_UK.cpp:516:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/DGC_sAHP.cpp:640:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/DGC_sAHP.cpp:692:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/DGC_sAHP.cpp:574:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
arm64/corenrn/mod2c/Gfluct3.cpp:995:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/Gfluct3.cpp:914:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/LcaMig.cpp:670:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/LcaMig.cpp:723:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/LcaMig.cpp:608:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
3 warnings generated.
2 warnings generated.
arm64/corenrn/mod2c/SH_KIn.cpp:527:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/SH_KIn.cpp:578:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/SH_KIn.cpp:467:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/SH_na8st.cpp:993:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/SH_na8st.cpp:1044:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/SH_na8st.cpp:933:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
arm64/corenrn/mod2c/bgka.cpp:633:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/bgka.cpp:684:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/bgka.cpp:573:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
arm64/corenrn/mod2c/ccanl.cpp:665:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/ccanl.cpp:535:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/exp2syn.cpp:563:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:640:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/exp2syn.cpp:501:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:529:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:606:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/expsyn.cpp:468:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/ggap.cpp:382:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/ggap.cpp:322:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
arm64/corenrn/mod2c/gskch.cpp:473:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/gskch.cpp:527:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/gskch.cpp:410:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
arm64/corenrn/mod2c/hh.cpp:555:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:611:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hh.cpp:489:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
arm64/corenrn/mod2c/holdingi.cpp:387:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/holdingi.cpp:319:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
arm64/corenrn/mod2c/hyperde3.cpp:675:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hyperde3.cpp:741:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/hyperde3.cpp:603:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
arm64/corenrn/mod2c/ichan2.cpp:673:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/ichan2.cpp:734:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/ichan2.cpp:603:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
arm64/corenrn/mod2c/iconc_Ca.cpp:572:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/iconc_Ca.cpp:464:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/kcaPR.cpp:556:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/kcaPR.cpp:608:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/kcaPR.cpp:491:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/na3n.cpp:766:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/na3n.cpp:817:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/na3n.cpp:706:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/nca.cpp:558:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/nca.cpp:609:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/nca.cpp:498:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/passive.cpp:342:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
1 warning generated.
arm64/corenrn/mod2c/stim.cpp:401:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/stim.cpp:328:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:502:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:607:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/svclmp.cpp:434:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
2 warnings generated.
3 warnings generated.
arm64/corenrn/mod2c/tca.cpp:674:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/tca.cpp:727:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
arm64/corenrn/mod2c/tca.cpp:612:1: warning: loop not vectorized: the optimizer was unable to perform the requested transformation; the transformation might be disabled or specified as part of an unsupported transformation ordering [-Wpass-failed=transform-warning]
for (_iml = 0; _iml < _cntml_actual; ++_iml) {
^
3 warnings generated.
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> NMODL .././Aradi_Ca.mod
-> NMODL .././Aradi_CadepK.mod
-> Compiling mod_func.cpp
-> NMODL .././Aradi_KA.mod
Translating Aradi_CadepK.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_CadepK.cpp
Translating Aradi_Ca.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_Ca.cpp
Thread Safe
Translating Aradi_KA.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_KA.cpp
Thread Safe
Thread Safe
-> NMODL .././Aradi_KDRf.mod
-> NMODL .././Aradi_KDRs.mod
-> NMODL .././Aradi_Na.mod
Translating Aradi_KDRf.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_KDRf.cpp
Thread Safe
Translating Aradi_KDRs.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_KDRs.cpp
Thread Safe
Translating Aradi_Na.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_Na.cpp
-> NMODL .././CaBK.mod
Thread Safe
-> NMODL .././DGC_M.mod
-> NMODL .././DGC_UK.mod
Translating DGC_M.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/DGC_M.cpp
Translating CaBK.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/CaBK.cpp
Thread Safe
Thread Safe
Translating DGC_UK.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/DGC_UK.cpp
-> NMODL .././DGC_sAHP.mod
Thread Safe
-> NMODL .././Gfluct3.mod
-> NMODL .././LcaMig.mod
-> NMODL .././SH_KIn.mod
Translating DGC_sAHP.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/DGC_sAHP.cpp
Translating Gfluct3.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Gfluct3.cpp
Thread Safe
Thread Safe
Translating LcaMig.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/LcaMig.cpp
Thread Safe
-> NMODL .././bgka.mod
-> NMODL .././SH_na8st.mod
Translating SH_KIn.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/SH_KIn.cpp
Thread Safe
-> NMODL .././ccanl.mod
-> NMODL .././ggap.mod
Translating bgka.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/bgka.cpp
Translating SH_na8st.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/SH_na8st.cpp
Thread Safe
NEURON's CVode method ignores conservation
Thread Safe
-> NMODL .././gskch.mod
-> NMODL .././holdingi.mod
Translating ccanl.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/ccanl.cpp
Thread Safe
Translating ggap.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/ggap.cpp
Thread Safe
-> NMODL .././hyperde3.mod
-> NMODL .././ichan2.mod
Translating holdingi.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/holdingi.cpp
Thread Safe
Translating gskch.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/gskch.cpp
Thread Safe
-> NMODL .././iconc_Ca.mod
Translating hyperde3.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/hyperde3.cpp
Thread Safe
-> NMODL .././kcaPR.mod
Translating ichan2.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/ichan2.cpp
Thread Safe
-> NMODL .././na3n.mod
-> NMODL .././nca.mod
Translating iconc_Ca.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/iconc_Ca.cpp
Thread Safe
Translating kcaPR.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/kcaPR.cpp
-> NMODL .././spines.mod
Thread Safe
Translating na3n.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/na3n.cpp
Thread Safe
Translating nca.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/nca.cpp
Thread Safe
-> NMODL .././tca.mod
-> NMODL .././vecevent.mod
-> Compiling Aradi_Ca.cpp
Translating spines.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/spines.cpp
Thread Safe
Translating tca.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/tca.cpp
Thread Safe
Translating vecevent.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/vecevent.cpp
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
-> Compiling Aradi_CadepK.cpp
-> Compiling Aradi_KA.cpp
-> Compiling Aradi_KDRf.cpp
-> Compiling Aradi_KDRs.cpp
-> Compiling Aradi_Na.cpp
-> Compiling CaBK.cpp
-> Compiling DGC_M.cpp
-> Compiling DGC_UK.cpp
-> Compiling DGC_sAHP.cpp
-> Compiling Gfluct3.cpp
-> Compiling LcaMig.cpp
-> Compiling SH_KIn.cpp
-> Compiling SH_na8st.cpp
-> Compiling bgka.cpp
-> Compiling ccanl.cpp
-> Compiling ggap.cpp
-> Compiling gskch.cpp
-> Compiling holdingi.cpp
-> Compiling hyperde3.cpp
-> Compiling ichan2.cpp
-> Compiling iconc_Ca.cpp
-> Compiling kcaPR.cpp
-> Compiling na3n.cpp
-> Compiling nca.cpp
-> Compiling spines.cpp
-> Compiling tca.cpp
-> Compiling vecevent.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1402/1557] Generating ../../reduced_dentate/neuron/commonutils.py
[1403/1557] Generating ../../reduced_dentate/neuron/dat/DGC_dendrite_points.dat
[1404/1557] Generating ../../reduced_dentate/neuron/dat/axon_st8.dat
[1405/1557] Generating ../../reduced_dentate/neuron/dat/DGC_dendrite_topology.dat
[1406/1557] Generating ../../reduced_dentate/neuron/dat/DGC_spine_density.dat
[1407/1557] Generating ../../reduced_dentate/neuron/dat/soma_st8.dat
[1408/1557] Generating ../../reduced_dentate/neuron/datasets/Control/AAC.dat
[1409/1557] Generating ../../reduced_dentate/neuron/datasets/Control/AACstim.dat
[1410/1557] Generating ../../reduced_dentate/neuron/datasets/Control/AACtoGC.dat
[1411/1557] Generating ../../reduced_dentate/neuron/datasets/Control/AACtoMC.dat
[1412/1557] Generating ../../reduced_dentate/neuron/datasets/Control/BCstim.dat
[1413/1557] Generating ../../reduced_dentate/neuron/datasets/Control/BC.dat
[1414/1557] Generating ../../reduced_dentate/neuron/datasets/Control/BCtoBC.dat
[1415/1557] Generating ../../reduced_dentate/neuron/datasets/Control/BCtoGC.dat
[1416/1557] Generating ../../reduced_dentate/neuron/datasets/Control/BCtoMC.dat
[1417/1557] Generating ../../reduced_dentate/neuron/datasets/Control/GC.dat
[1418/1557] Generating ../../reduced_dentate/neuron/datasets/Control/GCtoAAC.dat
[1419/1557] Generating ../../reduced_dentate/neuron/datasets/Control/GCstim.dat
[1420/1557] Generating ../../reduced_dentate/neuron/datasets/Control/GCtoBC.dat
[1421/1557] Generating ../../reduced_dentate/neuron/datasets/Control/GCtoMC.dat
[1422/1557] Generating ../../reduced_dentate/neuron/datasets/Control/HC.dat
[1423/1557] Generating ../../reduced_dentate/neuron/datasets/Control/HCtoGC.dat
[1424/1557] Generating ../../reduced_dentate/neuron/datasets/Control/HCstim.dat
[1425/1557] Generating ../../reduced_dentate/neuron/datasets/Control/HCtoHC.dat
[1426/1557] Generating ../../reduced_dentate/neuron/datasets/Control/HCtoMC.dat
[1427/1557] Generating ../../reduced_dentate/neuron/datasets/Control/HCtoNGFC.dat
[1428/1557] Generating ../../reduced_dentate/neuron/datasets/Control/MCstim.dat
[1429/1557] Generating ../../reduced_dentate/neuron/datasets/Control/MC.dat
[1430/1557] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoBC.dat
[1431/1557] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoAAC.dat
[1432/1557] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoGC.dat
[1433/1557] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoHC.dat
[1434/1557] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoMC.dat
[1435/1557] Generating ../../reduced_dentate/neuron/datasets/Control/MPP/MPPspiketrain.dat
[1436/1557] Generating ../../reduced_dentate/neuron/datasets/Control/MPP.dat
[1437/1557] Generating ../../reduced_dentate/neuron/datasets/Control/MPPtoGC.dat
[1438/1557] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCstim.dat
[1439/1557] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCtoAAC.dat
[1440/1557] Generating ../../reduced_dentate/neuron/datasets/Control/NGFC.dat
[1441/1557] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCtoNGFC.dat
[1442/1557] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCtoBC.dat
[1443/1557] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCtoGC.dat
[1444/1557] Generating ../../reduced_dentate/neuron/datasets/Control/celltypes.dat
[1445/1557] Generating ../../reduced_dentate/neuron/datasets/Control/connectivity.dat
[1446/1557] Generating ../../reduced_dentate/neuron/datasets/Control/gapjunctions.dat
[1447/1557] Generating ../../reduced_dentate/neuron/datasets/Control/gjBCtoBC.dat
[1448/1557] Generating ../../reduced_dentate/neuron/datasets/Control/gjNGFCtoNGFC.dat
[1449/1557] Generating ../../reduced_dentate/neuron/datasets/Control/gjHCtoHC.dat
[1450/1557] Generating ../../reduced_dentate/neuron/datasets/Control/stim.dat
[1451/1557] Generating ../../reduced_dentate/neuron/datasets/Control/syndecay.dat
[1452/1557] Generating ../../reduced_dentate/neuron/datasets/Control/syncomp.dat
[1453/1557] Generating ../../reduced_dentate/neuron/datasets/Control/synweight.dat
[1454/1557] Generating ../../reduced_dentate/neuron/datasets/Control/syndend.dat
[1455/1557] Generating ../../reduced_dentate/neuron/datasets/Control/synerev.dat
[1456/1557] Generating ../../reduced_dentate/neuron/lib.hoc
[1457/1557] Generating ../../reduced_dentate/neuron/datasets/Control/synrise.dat
[1458/1557] Generating ../../reduced_dentate/neuron/main.hoc
[1459/1557] Generating ../../reduced_dentate/neuron/parameters/Control.hoc
[1460/1557] Generating ../../reduced_dentate/neuron/run.hoc
[1461/1557] Generating ../../reduced_dentate/neuron/templates/AxoAxonicCell.hoc
[1462/1557] Generating ../../reduced_dentate/neuron/templates/BasketCell.hoc
[1463/1557] Generating ../../reduced_dentate/neuron/templates/DGC_Biophysics_passive_na8st.hoc
[1464/1557] Generating ../../reduced_dentate/neuron/templates/DGC.hoc
[1465/1557] Generating ../../reduced_dentate/neuron/templates/DGC_Morphology_from_file_na8st.hoc
[1466/1557] Generating ../../reduced_dentate/neuron/templates/Dict.hoc
[1467/1557] Generating ../../reduced_dentate/neuron/templates/MPPCell.hoc
[1468/1557] Generating ../../reduced_dentate/neuron/templates/DGC_Parameters_passive_na8st.hoc
[1469/1557] Generating ../../reduced_dentate/neuron/templates/DGC_Synapses.hoc
[1470/1557] Generating ../../reduced_dentate/neuron/templates/HICAPCell.hoc
[1471/1557] Generating ../../reduced_dentate/neuron/templates/HIPPCell.hoc
[1472/1557] Generating ../../reduced_dentate/neuron/templates/MossyCell.hoc
[1473/1557] Generating ../../reduced_dentate/neuron/templates/NGFCell.hoc
[1474/1557] Generating ../../reduced_dentate/neuron/templates/ranstream.hoc
[1475/1557] Generating ../../reduced_dentate/neuron/templates/Value.hoc
[1476/1557] Generating ../../reduced_dentate/neuron/templates/StimCell.hoc
[1477/1557] Generating ../../reduced_dentate/neuron/templates/defvar.hoc
[1478/1557] Generating ../../reduced_dentate/coreneuron_cpu/commonutils.py
[1479/1557] Generating ../../reduced_dentate/coreneuron_cpu/dat/DGC_dendrite_topology.dat
[1480/1557] Generating ../../reduced_dentate/coreneuron_cpu/dat/DGC_dendrite_points.dat
[1481/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/AAC.dat
[1482/1557] Generating ../../reduced_dentate/coreneuron_cpu/dat/DGC_spine_density.dat
[1483/1557] Generating ../../reduced_dentate/coreneuron_cpu/dat/axon_st8.dat
[1484/1557] Generating ../../reduced_dentate/coreneuron_cpu/dat/soma_st8.dat
[1485/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/AACstim.dat
[1486/1557] Building CXX object test/CMakeFiles/testneuron.dir/common/catch2_main.cpp.o
[1487/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/AACtoMC.dat
[1488/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BC.dat
[1489/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/AACtoGC.dat
[1490/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BCstim.dat
[1491/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BCtoMC.dat
[1492/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GC.dat
[1493/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BCtoBC.dat
[1494/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BCtoGC.dat
[1495/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GCstim.dat
[1496/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GCtoBC.dat
[1497/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCstim.dat
[1498/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GCtoAAC.dat
[1499/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GCtoMC.dat
[1500/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HC.dat
[1501/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCtoGC.dat
[1502/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCtoHC.dat
[1503/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCtoMC.dat
[1504/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MC.dat
[1505/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCtoNGFC.dat
[1506/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCstim.dat
[1507/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoAAC.dat
[1508/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoBC.dat
[1509/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoGC.dat
[1510/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoHC.dat
[1511/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MPP.dat
[1512/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoMC.dat
[1513/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MPPtoGC.dat
[1514/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFC.dat
[1515/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MPP/MPPspiketrain.dat
[1516/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCstim.dat
[1517/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCtoAAC.dat
[1518/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCtoBC.dat
[1519/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCtoNGFC.dat
[1520/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/gapjunctions.dat
[1521/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCtoGC.dat
[1522/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/celltypes.dat
[1523/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/connectivity.dat
[1524/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/gjBCtoBC.dat
[1525/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/gjHCtoHC.dat
[1526/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/stim.dat
[1527/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/gjNGFCtoNGFC.dat
[1528/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/syndecay.dat
[1529/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/syncomp.dat
[1530/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/syndend.dat
[1531/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/synrise.dat
[1532/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/synerev.dat
[1533/1557] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/synweight.dat
[1534/1557] Generating ../../reduced_dentate/coreneuron_cpu/main.hoc
[1535/1557] Generating ../../reduced_dentate/coreneuron_cpu/lib.hoc
[1536/1557] Generating ../../reduced_dentate/coreneuron_cpu/run.hoc
[1537/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/BasketCell.hoc
[1538/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC_Biophysics_passive_na8st.hoc
[1539/1557] Generating ../../reduced_dentate/coreneuron_cpu/parameters/Control.hoc
[1540/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/AxoAxonicCell.hoc
[1541/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC.hoc
[1542/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC_Synapses.hoc
[1543/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC_Parameters_passive_na8st.hoc
[1544/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/Dict.hoc
[1545/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC_Morphology_from_file_na8st.hoc
[1546/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/HIPPCell.hoc
[1547/1557] Linking CXX executable bin/test/testneuron
[1548/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/HICAPCell.hoc
[1549/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/MossyCell.hoc
[1550/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/StimCell.hoc
[1551/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/MPPCell.hoc
[1552/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/NGFCell.hoc
[1553/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/Value.hoc
[1554/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/defvar.hoc
[1555/1557] Generating ../../reduced_dentate/coreneuron_cpu/templates/ranstream.hoc
[1556/1557] Building CXX object test/CMakeFiles/nrn-benchmarks.dir/common/catch2_main.cpp.o
[1557/1557] Linking CXX executable bin/test/nrn-benchmarks
$ ccache -vs 2>/dev/null
Cache directory: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/ccache
Config file: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/ccache/ccache.conf
System config file: /opt/homebrew/Cellar/ccache/4.7.2/etc/ccache.conf
Stats updated: Wed Apr 26 19:27:52 2023
Cacheable calls: 501 / 501 (100.0%)
Hits: 0 / 501 ( 0.00%)
Direct: 0
Preprocessed: 0
Misses: 501 / 501 (100.0%)
Successful lookups:
Direct: 0 / 501 ( 0.00%)
Preprocessed: 0 / 501 ( 0.00%)
Local storage:
Cache size (GB): 0.40 / 5.00 ( 8.09%)
Files: 6445
Hits: 0 / 501 ( 0.00%)
Misses: 501 / 501 (100.0%)
Reads: 1002
Writes: 984
$ echo $'[install]\nprefix='>src/nrnpython/setup.cfg
$ cat src/nrnpython/setup.cfg
[install]
prefix=
$ ctest --output-on-failure
Test project /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build
Start 209: external_ringtest::coreneuron_cpu_mpi_offline::preparation
Start 217: testcorenrn_bbcore::coreneuron_cpu_offline::preparation
1/268 Test #217: testcorenrn_bbcore::coreneuron_cpu_offline::preparation .................. Passed 1.10 sec
Start 223: testcorenrn_conc::coreneuron_cpu_offline::preparation
2/268 Test #223: testcorenrn_conc::coreneuron_cpu_offline::preparation .................... Passed 0.43 sec
Start 229: testcorenrn_deriv::coreneuron_cpu_offline::preparation
3/268 Test #209: external_ringtest::coreneuron_cpu_mpi_offline::preparation ............... Passed 1.74 sec
Start 235: testcorenrn_gf::coreneuron_cpu_offline::preparation
4/268 Test #229: testcorenrn_deriv::coreneuron_cpu_offline::preparation ................... Passed 0.34 sec
Start 241: testcorenrn_kin::coreneuron_cpu_offline::preparation
5/268 Test #235: testcorenrn_gf::coreneuron_cpu_offline::preparation ...................... Passed 0.42 sec
Start 246: testcorenrn_patstim::coreneuron_cpu_offline::preparation
6/268 Test #241: testcorenrn_kin::coreneuron_cpu_offline::preparation ..................... Passed 0.41 sec
Start 128: pyinit::nrniv_py3.10_python_check_sys_path::preparation
7/268 Test #128: pyinit::nrniv_py3.10_python_check_sys_path::preparation .................. Passed 0.02 sec
Start 130: pyinit::nrniv_py3.10_nrnpython_check_sys_path::preparation
8/268 Test #130: pyinit::nrniv_py3.10_nrnpython_check_sys_path::preparation ............... Passed 0.02 sec
Start 132: pyinit::nrniv_py3.10_python_check_sys_prefix::preparation
9/268 Test #132: pyinit::nrniv_py3.10_python_check_sys_prefix::preparation ................ Passed 0.02 sec
Start 134: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix::preparation
10/268 Test #134: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix::preparation ............. Passed 0.02 sec
Start 136: pyinit::nrniv_py3.10_python_check_sys_exec_prefix::preparation
11/268 Test #136: pyinit::nrniv_py3.10_python_check_sys_exec_prefix::preparation ........... Passed 0.02 sec
Start 138: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix::preparation
12/268 Test #138: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix::preparation ........ Passed 0.02 sec
Start 140: pyinit::nrniv_py3.10_python_check_sys_base_prefix::preparation
13/268 Test #140: pyinit::nrniv_py3.10_python_check_sys_base_prefix::preparation ........... Passed 0.02 sec
Start 142: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix::preparation
14/268 Test #142: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix::preparation ........ Passed 0.02 sec
Start 144: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix::preparation
15/268 Test #144: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix::preparation ...... Passed 0.02 sec
Start 146: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix::preparation
16/268 Test #146: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix::preparation ... Passed 0.02 sec
Start 148: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding::preparation
17/268 Test #246: testcorenrn_patstim::coreneuron_cpu_offline::preparation ................. Passed 0.36 sec
Start 252: testcorenrn_vecplay::coreneuron_cpu_offline::preparation
18/268 Test #148: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding::preparation ....... Passed 0.02 sec
Start 150: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding::preparation
19/268 Test #150: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding::preparation .... Passed 0.02 sec
Start 152: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding::preparation
20/268 Test #152: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding::preparation ........ Passed 0.02 sec
Start 154: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding::preparation
21/268 Test #154: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding::preparation ..... Passed 0.02 sec
Start 156: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding::preparation
22/268 Test #156: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding::preparation ....... Passed 0.02 sec
Start 158: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding::preparation
23/268 Test #158: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding::preparation .... Passed 0.02 sec
Start 164: pyinit::nrniv_pydef_python_check_sys_path::preparation
24/268 Test #164: pyinit::nrniv_pydef_python_check_sys_path::preparation ................... Passed 0.02 sec
Start 166: pyinit::nrniv_pydef_nrnpython_check_sys_path::preparation
25/268 Test #166: pyinit::nrniv_pydef_nrnpython_check_sys_path::preparation ................ Passed 0.02 sec
Start 168: pyinit::nrniv_pydef_python_check_sys_prefix::preparation
26/268 Test #168: pyinit::nrniv_pydef_python_check_sys_prefix::preparation ................. Passed 0.02 sec
Start 170: pyinit::nrniv_pydef_nrnpython_check_sys_prefix::preparation
27/268 Test #170: pyinit::nrniv_pydef_nrnpython_check_sys_prefix::preparation .............. Passed 0.02 sec
Start 172: pyinit::nrniv_pydef_python_check_sys_exec_prefix::preparation
28/268 Test #172: pyinit::nrniv_pydef_python_check_sys_exec_prefix::preparation ............ Passed 0.02 sec
Start 174: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix::preparation
29/268 Test #174: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix::preparation ......... Passed 0.02 sec
Start 176: pyinit::nrniv_pydef_python_check_sys_base_prefix::preparation
30/268 Test #176: pyinit::nrniv_pydef_python_check_sys_base_prefix::preparation ............ Passed 0.06 sec
Start 178: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix::preparation
31/268 Test #178: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix::preparation ......... Passed 0.06 sec
Start 180: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix::preparation
32/268 Test #180: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix::preparation ....... Passed 0.04 sec
Start 182: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix::preparation
33/268 Test #252: testcorenrn_vecplay::coreneuron_cpu_offline::preparation ................. Passed 0.40 sec
Start 264: testcorenrn_watch::coreneuron_cpu_offline::preparation
34/268 Test #182: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix::preparation .... Passed 0.03 sec
Start 184: pyinit::nrniv_pydef_python_check_sys_stderr.encoding::preparation
35/268 Test #184: pyinit::nrniv_pydef_python_check_sys_stderr.encoding::preparation ........ Passed 0.03 sec
Start 186: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding::preparation
36/268 Test #186: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding::preparation ..... Passed 0.03 sec
Start 188: pyinit::nrniv_pydef_python_check_sys_stdin.encoding::preparation
37/268 Test #188: pyinit::nrniv_pydef_python_check_sys_stdin.encoding::preparation ......... Passed 0.03 sec
Start 190: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding::preparation
38/268 Test #190: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding::preparation ...... Passed 0.03 sec
Start 192: pyinit::nrniv_pydef_python_check_sys_stdout.encoding::preparation
39/268 Test #192: pyinit::nrniv_pydef_python_check_sys_stdout.encoding::preparation ........ Passed 0.03 sec
Start 194: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding::preparation
40/268 Test #194: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding::preparation ..... Passed 0.02 sec
Start 202: external_ringtest::neuron
41/268 Test #264: testcorenrn_watch::coreneuron_cpu_offline::preparation ................... Passed 0.35 sec
Start 203: external_ringtest::neuron_mpi
42/268 Test #202: external_ringtest::neuron ................................................ Passed 1.07 sec
Start 213: testcorenrn_bbcore::neuron
43/268 Test #203: external_ringtest::neuron_mpi ............................................ Passed 0.91 sec
Start 204: external_ringtest::neuron_mpi_python
44/268 Test #213: testcorenrn_bbcore::neuron ............................................... Passed 0.30 sec
Start 214: testcorenrn_bbcore::coreneuron_cpu_online
45/268 Test #214: testcorenrn_bbcore::coreneuron_cpu_online ................................ Passed 0.76 sec
Start 215: testcorenrn_bbcore::coreneuron_cpu_online_psolve_alternate
46/268 Test #204: external_ringtest::neuron_mpi_python ..................................... Passed 1.36 sec
Start 205: external_ringtest::coreneuron_cpu_mpi_offline_saverestore
47/268 Test #215: testcorenrn_bbcore::coreneuron_cpu_online_psolve_alternate ............... Passed 0.60 sec
Start 216: testcorenrn_bbcore::coreneuron_cpu_offline
48/268 Test #216: testcorenrn_bbcore::coreneuron_cpu_offline ............................... Passed 0.41 sec
Start 219: testcorenrn_conc::neuron
49/268 Test #219: testcorenrn_conc::neuron ................................................. Passed 0.29 sec
Start 220: testcorenrn_conc::coreneuron_cpu_online
50/268 Test #220: testcorenrn_conc::coreneuron_cpu_online .................................. Passed 0.56 sec
Start 221: testcorenrn_conc::coreneuron_cpu_online_psolve_alternate
51/268 Test #205: external_ringtest::coreneuron_cpu_mpi_offline_saverestore ................ Passed 1.76 sec
Start 206: external_ringtest::coreneuron_cpu_mpi
52/268 Test #221: testcorenrn_conc::coreneuron_cpu_online_psolve_alternate ................. Passed 0.60 sec
Start 222: testcorenrn_conc::coreneuron_cpu_offline
53/268 Test #222: testcorenrn_conc::coreneuron_cpu_offline ................................. Passed 0.35 sec
Start 225: testcorenrn_deriv::neuron
54/268 Test #206: external_ringtest::coreneuron_cpu_mpi .................................... Passed 1.11 sec
Start 207: external_ringtest::coreneuron_cpu_mpi_python
55/268 Test #225: testcorenrn_deriv::neuron ................................................ Passed 0.37 sec
Start 226: testcorenrn_deriv::coreneuron_cpu_online
56/268 Test #226: testcorenrn_deriv::coreneuron_cpu_online ................................. Passed 1.01 sec
Start 227: testcorenrn_deriv::coreneuron_cpu_online_psolve_alternate
57/268 Test #207: external_ringtest::coreneuron_cpu_mpi_python ............................. Passed 1.75 sec
Start 208: external_ringtest::coreneuron_cpu_mpi_offline
58/268 Test #227: testcorenrn_deriv::coreneuron_cpu_online_psolve_alternate ................ Passed 0.78 sec
Start 228: testcorenrn_deriv::coreneuron_cpu_offline
59/268 Test #228: testcorenrn_deriv::coreneuron_cpu_offline ................................ Passed 0.39 sec
Start 237: testcorenrn_kin::neuron
60/268 Test #208: external_ringtest::coreneuron_cpu_mpi_offline ............................ Passed 0.73 sec
Start 231: testcorenrn_gf::neuron
61/268 Test #237: testcorenrn_kin::neuron .................................................. Passed 0.33 sec
Start 238: testcorenrn_kin::coreneuron_cpu_online
62/268 Test #231: testcorenrn_gf::neuron ................................................... Passed 0.62 sec
Start 232: testcorenrn_gf::coreneuron_cpu_online
63/268 Test #238: testcorenrn_kin::coreneuron_cpu_online ................................... Passed 0.73 sec
Start 239: testcorenrn_kin::coreneuron_cpu_online_psolve_alternate
64/268 Test #232: testcorenrn_gf::coreneuron_cpu_online .................................... Passed 0.88 sec
Start 233: testcorenrn_gf::coreneuron_cpu_online_psolve_alternate
65/268 Test #239: testcorenrn_kin::coreneuron_cpu_online_psolve_alternate .................. Passed 0.78 sec
Start 240: testcorenrn_kin::coreneuron_cpu_offline
66/268 Test #240: testcorenrn_kin::coreneuron_cpu_offline .................................. Passed 0.43 sec
Start 1: cmd_interface_test
67/268 Test #1: cmd_interface_test ....................................................... Passed 0.38 sec
Start 2: interleave_info_constructor_test
68/268 Test #2: interleave_info_constructor_test ......................................... Passed 0.26 sec
Start 3: alignment_test
69/268 Test #233: testcorenrn_gf::coreneuron_cpu_online_psolve_alternate ................... Passed 1.21 sec
Start 234: testcorenrn_gf::coreneuron_cpu_offline
70/268 Test #3: alignment_test ........................................................... Passed 0.23 sec
Start 4: queuing_test
71/268 Test #4: queuing_test ............................................................. Passed 0.23 sec
Start 5: test-solver
72/268 Test #234: testcorenrn_gf::coreneuron_cpu_offline ................................... Passed 0.48 sec
Start 243: testcorenrn_patstim::neuron
73/268 Test #243: testcorenrn_patstim::neuron .............................................. Passed 0.39 sec
Start 244: testcorenrn_patstim::coreneuron_cpu_offline_saverestore
74/268 Test #5: test-solver .............................................................. Passed 0.69 sec
Start 6: lfp_test
75/268 Test #6: lfp_test ................................................................. Passed 0.50 sec
Start 7: unit_tests::testneuron
76/268 Test #7: unit_tests::testneuron ................................................... Passed 0.43 sec
Start 8: ringtest
77/268 Test #244: testcorenrn_patstim::coreneuron_cpu_offline_saverestore .................. Passed 1.18 sec
Start 245: testcorenrn_patstim::coreneuron_cpu_offline
78/268 Test #8: ringtest ................................................................. Passed 0.21 sec
Start 9: connect_dend
79/268 Test #245: testcorenrn_patstim::coreneuron_cpu_offline .............................. Passed 0.29 sec
Start 248: testcorenrn_vecplay::neuron
80/268 Test #9: connect_dend ............................................................. Passed 0.16 sec
Start 10: mpi_init::nrniv_mpiopt
81/268 Test #248: testcorenrn_vecplay::neuron .............................................. Passed 0.47 sec
Start 249: testcorenrn_vecplay::coreneuron_cpu_online
82/268 Test #10: mpi_init::nrniv_mpiopt ................................................... Passed 0.45 sec
Start 11: mpi_init::nrniv_nrnmpi_init
83/268 Test #11: mpi_init::nrniv_nrnmpi_init .............................................. Passed 0.40 sec
Start 12: mpi_init::python_nrnmpi_init
84/268 Test #249: testcorenrn_vecplay::coreneuron_cpu_online ............................... Passed 0.99 sec
Start 250: testcorenrn_vecplay::coreneuron_cpu_online_psolve_alternate
85/268 Test #12: mpi_init::python_nrnmpi_init ............................................. Passed 0.86 sec
Start 13: mpi_init::python_mpienv
86/268 Test #250: testcorenrn_vecplay::coreneuron_cpu_online_psolve_alternate .............. Passed 0.67 sec
Start 251: testcorenrn_vecplay::coreneuron_cpu_offline
87/268 Test #13: mpi_init::python_mpienv .................................................. Passed 0.77 sec
Start 14: mpi_init::nrniv_mpiexec_mpiopt
88/268 Test #251: testcorenrn_vecplay::coreneuron_cpu_offline .............................. Passed 0.41 sec
Start 260: testcorenrn_watch::neuron
89/268 Test #14: mpi_init::nrniv_mpiexec_mpiopt ........................................... Passed 0.27 sec
Start 15: mpi_init::nrniv_mpiexec_nrnmpi_init
90/268 Test #260: testcorenrn_watch::neuron ................................................ Passed 0.33 sec
Start 261: testcorenrn_watch::coreneuron_cpu_online
91/268 Test #15: mpi_init::nrniv_mpiexec_nrnmpi_init ...................................... Passed 0.26 sec
Start 16: mpi_init::python_mpiexec_nrnmpi_init
92/268 Test #261: testcorenrn_watch::coreneuron_cpu_online ................................. Passed 0.71 sec
Start 262: testcorenrn_watch::coreneuron_cpu_online_psolve_alternate
93/268 Test #16: mpi_init::python_mpiexec_nrnmpi_init ..................................... Passed 0.83 sec
Start 17: mpi_init::python_mpiexec_mpienv
94/268 Test #262: testcorenrn_watch::coreneuron_cpu_online_psolve_alternate ................ Passed 0.71 sec
Start 263: testcorenrn_watch::coreneuron_cpu_offline
95/268 Test #263: testcorenrn_watch::coreneuron_cpu_offline ................................ Passed 0.48 sec
Start 266: testcorenrn_netstimdirect::direct_netstimdirect
96/268 Test #17: mpi_init::python_mpiexec_mpienv .......................................... Passed 0.94 sec
Start 18: pynrn::basic_tests_py3.10
97/268 Test #266: testcorenrn_netstimdirect::direct_netstimdirect .......................... Passed 1.01 sec
Start 267: testcorenrn_netstimdirect::direct_netstimdirect_psolve_alternate
98/268 Test #267: testcorenrn_netstimdirect::direct_netstimdirect_psolve_alternate ......... Passed 0.88 sec
Start 19: coverage_tests::cover_tests
Start 20: example_nmodl::ca_ap_hoc
99/268 Test #20: example_nmodl::ca_ap_hoc ................................................. Passed 0.48 sec
Start 21: example_nmodl::cabpump_hoc
100/268 Test #21: example_nmodl::cabpump_hoc ............................................... Passed 0.27 sec
Start 22: example_nmodl::cachan_hoc
101/268 Test #22: example_nmodl::cachan_hoc ................................................ Passed 0.15 sec
Start 23: example_nmodl::cadif_hoc
102/268 Test #19: coverage_tests::cover_tests .............................................. Passed 0.94 sec
Start 24: example_nmodl::cadifusl_hoc
103/268 Test #23: example_nmodl::cadif_hoc ................................................. Passed 0.17 sec
Start 25: example_nmodl::cadifusl1_hoc
104/268 Test #24: example_nmodl::cadifusl_hoc .............................................. Passed 0.15 sec
Start 26: example_nmodl::cadifusl2_hoc
105/268 Test #26: example_nmodl::cadifusl2_hoc ............................................. Passed 0.14 sec
Start 27: example_nmodl::cagk_hoc
106/268 Test #25: example_nmodl::cadifusl1_hoc ............................................. Passed 0.15 sec
Start 28: example_nmodl::capmp_hoc
107/268 Test #27: example_nmodl::cagk_hoc .................................................. Passed 0.14 sec
Start 29: example_nmodl::cv_hoc
108/268 Test #28: example_nmodl::capmp_hoc ................................................. Passed 0.16 sec
Start 30: example_nmodl::fh_hoc
109/268 Test #29: example_nmodl::cv_hoc .................................................... Passed 0.14 sec
Start 31: example_nmodl::fit1_hoc
110/268 Test #30: example_nmodl::fh_hoc .................................................... Passed 0.14 sec
Start 32: example_nmodl::gap_hoc
111/268 Test #31: example_nmodl::fit1_hoc .................................................. Passed 0.14 sec
Start 33: example_nmodl::hh1_hoc
112/268 Test #32: example_nmodl::gap_hoc ................................................... Passed 0.14 sec
Start 34: example_nmodl::hhvect_hoc
113/268 Test #33: example_nmodl::hh1_hoc ................................................... Passed 0.20 sec
Start 35: example_nmodl::nacum_hoc
114/268 Test #34: example_nmodl::hhvect_hoc ................................................ Passed 0.19 sec
Start 36: example_nmodl::nadifl1_hoc
115/268 Test #35: example_nmodl::nacum_hoc ................................................. Passed 0.23 sec
Start 37: example_nmodl::nadifl2_hoc
116/268 Test #36: example_nmodl::nadifl1_hoc ............................................... Passed 0.23 sec
Start 38: example_nmodl::nadifl3_hoc
117/268 Test #37: example_nmodl::nadifl2_hoc ............................................... Passed 0.16 sec
Start 39: example_nmodl::nadifl4_hoc
118/268 Test #38: example_nmodl::nadifl3_hoc ............................................... Passed 0.16 sec
Start 40: example_nmodl::obj_ex_hoc
119/268 Test #40: example_nmodl::obj_ex_hoc ................................................ Passed 0.14 sec
Start 41: example_nmodl::order_hoc
120/268 Test #39: example_nmodl::nadifl4_hoc ............................................... Passed 0.17 sec
Start 42: example_nmodl::passiv_hoc
121/268 Test #42: example_nmodl::passiv_hoc ................................................ Passed 0.23 sec
Start 43: example_nmodl::stim1_hoc
122/268 Test #41: example_nmodl::order_hoc ................................................. Passed 0.28 sec
Start 44: example_nmodl::syn1_hoc
123/268 Test #43: example_nmodl::stim1_hoc ................................................. Passed 0.18 sec
Start 45: example_nmodl::synpre_hoc
124/268 Test #44: example_nmodl::syn1_hoc .................................................. Passed 0.15 sec
Start 46: example_nmodl::tstextrn_hoc
125/268 Test #45: example_nmodl::synpre_hoc ................................................ Passed 0.15 sec
Start 47: example_nmodl::tstpnt1_hoc
126/268 Test #46: example_nmodl::tstextrn_hoc .............................................. Passed 0.14 sec
Start 48: example_nmodl::tstpnt2_hoc
127/268 Test #47: example_nmodl::tstpnt1_hoc ............................................... Passed 0.14 sec
Start 49: example_nmodl::tstvec_hoc
128/268 Test #48: example_nmodl::tstpnt2_hoc ............................................... Passed 0.14 sec
Start 50: example_nmodl::cagkftab_py
129/268 Test #49: example_nmodl::tstvec_hoc ................................................ Passed 0.24 sec
Start 51: example_nmodl::expsynspine_py
130/268 Test #51: example_nmodl::expsynspine_py ............................................ Passed 0.70 sec
Start 52: example_nmodl::nonlin_py
131/268 Test #52: example_nmodl::nonlin_py ................................................. Passed 1.20 sec
Start 53: example_nmodl::tstpnt1_py
132/268 Test #53: example_nmodl::tstpnt1_py ................................................ Passed 0.63 sec
Start 54: example_nmodl::tstpnt2_py
133/268 Test #18: pynrn::basic_tests_py3.10 ................................................ Passed 7.83 sec
Start 55: example_nmodl::vlag_py
134/268 Test #54: example_nmodl::tstpnt2_py ................................................ Passed 0.68 sec
Start 56: hoctests::test_loadbal_hoc
135/268 Test #55: example_nmodl::vlag_py ................................................... Passed 0.71 sec
Start 57: hoctests::perf1_hoc
136/268 Test #56: hoctests::test_loadbal_hoc ............................................... Passed 0.44 sec
Start 58: hoctests::test1_hoc
137/268 Test #58: hoctests::test1_hoc ...................................................... Passed 0.33 sec
Start 59: hoctests::test2_hoc
138/268 Test #50: example_nmodl::cagkftab_py ............................................... Passed 4.25 sec
Start 60: hoctests::test3_hoc
139/268 Test #60: hoctests::test3_hoc ...................................................... Passed 0.15 sec
Start 61: hoctests::test4_hoc
140/268 Test #59: hoctests::test2_hoc ...................................................... Passed 0.19 sec
Start 62: hoctests::test5_hoc
141/268 Test #61: hoctests::test4_hoc ...................................................... Passed 0.14 sec
Start 63: hoctests::test6_hoc
142/268 Test #62: hoctests::test5_hoc ...................................................... Passed 0.14 sec
Start 64: hoctests::test7_hoc
143/268 Test #63: hoctests::test6_hoc ...................................................... Passed 0.13 sec
Start 65: hoctests::test8_hoc
144/268 Test #64: hoctests::test7_hoc ...................................................... Passed 0.13 sec
Start 66: hoctests::test9_hoc
145/268 Test #65: hoctests::test8_hoc ...................................................... Passed 0.13 sec
Start 67: hoctests::test_kschan_py
146/268 Test #66: hoctests::test9_hoc ...................................................... Passed 0.13 sec
Start 68: hoctests::test_neurondemo_py
147/268 Test #57: hoctests::perf1_hoc ...................................................... Passed 1.25 sec
Start 69: hoctests::test_nrniv-launch_py
148/268 Test #69: hoctests::test_nrniv-launch_py ........................................... Passed 0.59 sec
Start 70: hoctests::test_shape_py
149/268 Test #68: hoctests::test_neurondemo_py ............................................. Passed 0.70 sec
Start 71: hoctests::test1_py
150/268 Test #67: hoctests::test_kschan_py ................................................. Passed 0.73 sec
Start 72: hoctests::test2_py
151/268 Test #70: hoctests::test_shape_py .................................................. Passed 0.78 sec
Start 73: hoctests::test9_py
152/268 Test #71: hoctests::test1_py ....................................................... Passed 0.75 sec
Start 79: coreneuron_standalone::test_nrn_corenrn_standalone
153/268 Test #72: hoctests::test2_py ....................................................... Passed 0.74 sec
Start 80: nmodl_tests::test_table
154/268 Test #73: hoctests::test9_py ....................................................... Passed 0.84 sec
Start 81: nmodl_tests::test_disc
155/268 Test #80: nmodl_tests::test_table .................................................. Passed 1.03 sec
Start 82: nmodl_tests::test_function_table
156/268 Test #79: coreneuron_standalone::test_nrn_corenrn_standalone ....................... Passed 1.18 sec
Start 83: nmodl_tests::test_kinetic
157/268 Test #81: nmodl_tests::test_disc ................................................... Passed 0.98 sec
Start 84: coreneuron_modtests::version_macros
158/268 Test #82: nmodl_tests::test_function_table ......................................... Passed 1.01 sec
Start 85: coreneuron_modtests::fornetcon_py_cpu
159/268 Test #83: nmodl_tests::test_kinetic ................................................ Passed 0.92 sec
Start 86: coreneuron_modtests::direct_py_cpu
160/268 Test #84: coreneuron_modtests::version_macros ...................................... Passed 1.24 sec
Start 87: coreneuron_modtests::direct_hoc_cpu
161/268 Test #85: coreneuron_modtests::fornetcon_py_cpu .................................... Passed 1.12 sec
Start 88: coreneuron_modtests::spikes_py_cpu
162/268 Test #86: coreneuron_modtests::direct_py_cpu ....................................... Passed 1.10 sec
Start 89: coreneuron_modtests::spikes_file_mode_py_cpu
163/268 Test #87: coreneuron_modtests::direct_hoc_cpu ...................................... Passed 0.84 sec
Start 90: coreneuron_modtests::fast_imem_py_cpu
164/268 Test #89: coreneuron_modtests::spikes_file_mode_py_cpu ............................. Passed 1.36 sec
Start 91: coreneuron_modtests::datareturn_py_cpu
165/268 Test #88: coreneuron_modtests::spikes_py_cpu ....................................... Passed 1.41 sec
Start 92: coreneuron_modtests::test_units_py_cpu
166/268 Test #90: coreneuron_modtests::fast_imem_py_cpu .................................... Passed 1.05 sec
Start 93: coreneuron_modtests::test_netmove_py_cpu
167/268 Test #92: coreneuron_modtests::test_units_py_cpu ................................... Passed 0.75 sec
Start 94: coreneuron_modtests::test_pointer_py_cpu
168/268 Test #91: coreneuron_modtests::datareturn_py_cpu ................................... Passed 1.17 sec
Start 95: coreneuron_modtests::test_watchrange_py_cpu
169/268 Test #93: coreneuron_modtests::test_netmove_py_cpu ................................. Passed 1.63 sec
Start 96: coreneuron_modtests::test_psolve_py_cpu
170/268 Test #95: coreneuron_modtests::test_watchrange_py_cpu .............................. Passed 0.94 sec
Start 97: coreneuron_modtests::test_ba_py_cpu
171/268 Test #96: coreneuron_modtests::test_psolve_py_cpu .................................. Passed 0.94 sec
Start 98: coreneuron_modtests::test_natrans_py_cpu
172/268 Test #97: coreneuron_modtests::test_ba_py_cpu ...................................... Passed 0.89 sec
Start 102: nmodl_tests_coreneuron::test_table_coreneuron_cpu
173/268 Test #98: coreneuron_modtests::test_natrans_py_cpu ................................. Passed 0.88 sec
Start 103: nmodl_tests_coreneuron::test_disc_coreneuron_cpu
174/268 Test #102: nmodl_tests_coreneuron::test_table_coreneuron_cpu ........................ Passed 0.88 sec
Start 104: nmodl_tests_coreneuron::test_function_table_coreneuron_cpu
175/268 Test #94: coreneuron_modtests::test_pointer_py_cpu ................................. Passed 3.67 sec
Start 105: nmodl_tests_coreneuron::test_kinetic_coreneuron_cpu
176/268 Test #103: nmodl_tests_coreneuron::test_disc_coreneuron_cpu ......................... Passed 0.92 sec
Start 106: modlunit_unitstest
177/268 Test #104: nmodl_tests_coreneuron::test_function_table_coreneuron_cpu ............... Passed 0.90 sec
Start 107: modlunit_hh
178/268 Test #106: modlunit_unitstest ....................................................... Passed 0.25 sec
Start 108: modlunit_stim
179/268 Test #107: modlunit_hh .............................................................. Passed 0.20 sec
Start 109: modlunit_pattern
180/268 Test #108: modlunit_stim ............................................................ Passed 0.10 sec
Start 110: pyinit::nrniv_script.py
181/268 Test #109: modlunit_pattern ......................................................... Passed 0.10 sec
Start 111: pyinit::nrniv_script.py_error
182/268 Test #110: pyinit::nrniv_script.py .................................................. Passed 0.15 sec
Start 112: pyinit::nrniv_two_scripts.py
183/268 Test #105: nmodl_tests_coreneuron::test_kinetic_coreneuron_cpu ...................... Passed 0.78 sec
Start 113: pyinit::nrniv_two_scripts.py_error_check_code
184/268 Test #111: pyinit::nrniv_script.py_error ............................................ Passed 0.14 sec
Start 114: pyinit::nrniv_two_scripts.py_error_check_output
185/268 Test #112: pyinit::nrniv_two_scripts.py ............................................. Passed 0.14 sec
Start 115: pyinit::nrniv_python_command
186/268 Test #113: pyinit::nrniv_two_scripts.py_error_check_code ............................ Passed 0.13 sec
Start 116: pyinit::nrniv_python_command_error
187/268 Test #114: pyinit::nrniv_two_scripts.py_error_check_output .......................... Passed 0.13 sec
Start 117: pyinit::nrniv_python_script.py
188/268 Test #116: pyinit::nrniv_python_command_error ....................................... Passed 0.13 sec
Start 118: pyinit::nrniv_python_script.py_error
189/268 Test #115: pyinit::nrniv_python_command ............................................. Passed 0.14 sec
Start 119: pyinit::nrniv_python_command_twice
190/268 Test #117: pyinit::nrniv_python_script.py ........................................... Passed 0.13 sec
Start 120: pyinit::nrniv_python_script.py_twice
191/268 Test #119: pyinit::nrniv_python_command_twice ....................................... Passed 0.13 sec
Start 121: pyinit::nrniv_python_command_args
192/268 Test #118: pyinit::nrniv_python_script.py_error ..................................... Passed 0.13 sec
Start 122: pyinit::nrniv_python_script.py_args
193/268 Test #120: pyinit::nrniv_python_script.py_twice ..................................... Passed 0.13 sec
Start 123: pyinit::nrniv_nopython_PythonObject
194/268 Test #123: pyinit::nrniv_nopython_PythonObject ...................................... Passed 0.11 sec
Start 124: pyinit::nrniv_nopython_PythonObject_pyexe
195/268 Test #122: pyinit::nrniv_python_script.py_args ...................................... Passed 0.13 sec
Start 125: pyinit::nrniv_nopython_PythonObject_pyenv
196/268 Test #121: pyinit::nrniv_python_command_args ........................................ Passed 0.13 sec
Start 126: pyinit::nrniv_py3.10_python_command_version_check
197/268 Test #124: pyinit::nrniv_nopython_PythonObject_pyexe ................................ Passed 0.11 sec
Start 127: pyinit::nrniv_py3.10_python_check_sys_path
198/268 Test #125: pyinit::nrniv_nopython_PythonObject_pyenv ................................ Passed 0.11 sec
Start 129: pyinit::nrniv_py3.10_nrnpython_check_sys_path
199/268 Test #126: pyinit::nrniv_py3.10_python_command_version_check ........................ Passed 0.13 sec
Start 131: pyinit::nrniv_py3.10_python_check_sys_prefix
200/268 Test #127: pyinit::nrniv_py3.10_python_check_sys_path ............................... Passed 0.14 sec
Start 133: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix
201/268 Test #129: pyinit::nrniv_py3.10_nrnpython_check_sys_path ............................ Passed 0.13 sec
Start 135: pyinit::nrniv_py3.10_python_check_sys_exec_prefix
202/268 Test #131: pyinit::nrniv_py3.10_python_check_sys_prefix ............................. Passed 0.13 sec
Start 137: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix
203/268 Test #133: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix .......................... Passed 0.14 sec
Start 139: pyinit::nrniv_py3.10_python_check_sys_base_prefix
204/268 Test #135: pyinit::nrniv_py3.10_python_check_sys_exec_prefix ........................ Passed 0.14 sec
Start 141: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix
205/268 Test #137: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix ..................... Passed 0.14 sec
Start 143: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix
206/268 Test #139: pyinit::nrniv_py3.10_python_check_sys_base_prefix ........................ Passed 0.14 sec
Start 145: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix
207/268 Test #141: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix ..................... Passed 0.14 sec
Start 147: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding
208/268 Test #143: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix ................... Passed 0.14 sec
Start 149: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding
209/268 Test #145: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix ................ Passed 0.14 sec
Start 151: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding
210/268 Test #147: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding .................... Passed 0.14 sec
Start 153: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding
211/268 Test #149: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding ................. Passed 0.14 sec
Start 155: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding
212/268 Test #151: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding ..................... Passed 0.14 sec
Start 157: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding
213/268 Test #153: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding .................. Passed 0.14 sec
Start 159: pyinit::nrniv_py3.10_python_command_import_neuron
214/268 Test #155: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding .................... Passed 0.14 sec
Start 160: pyinit::py3.10_command_import_neuron
215/268 Test #157: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding ................. Passed 0.14 sec
Start 161: pyinit::nrniv_py3.10_hoc_command_nrnpython
216/268 Test #161: pyinit::nrniv_py3.10_hoc_command_nrnpython ............................... Passed 0.33 sec
Start 162: pyinit::nrniv_pydef_python_command_version_check
217/268 Test #162: pyinit::nrniv_pydef_python_command_version_check ......................... Passed 0.19 sec
Start 163: pyinit::nrniv_pydef_python_check_sys_path
218/268 Test #159: pyinit::nrniv_py3.10_python_command_import_neuron ........................ Passed 0.66 sec
Start 165: pyinit::nrniv_pydef_nrnpython_check_sys_path
219/268 Test #160: pyinit::py3.10_command_import_neuron ..................................... Passed 0.65 sec
Start 167: pyinit::nrniv_pydef_python_check_sys_prefix
220/268 Test #163: pyinit::nrniv_pydef_python_check_sys_path ................................ Passed 0.14 sec
Start 169: pyinit::nrniv_pydef_nrnpython_check_sys_prefix
221/268 Test #165: pyinit::nrniv_pydef_nrnpython_check_sys_path ............................. Passed 0.14 sec
Start 171: pyinit::nrniv_pydef_python_check_sys_exec_prefix
222/268 Test #167: pyinit::nrniv_pydef_python_check_sys_prefix .............................. Passed 0.14 sec
Start 173: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix
223/268 Test #169: pyinit::nrniv_pydef_nrnpython_check_sys_prefix ........................... Passed 0.14 sec
Start 175: pyinit::nrniv_pydef_python_check_sys_base_prefix
224/268 Test #171: pyinit::nrniv_pydef_python_check_sys_exec_prefix ......................... Passed 0.14 sec
Start 177: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix
225/268 Test #173: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix ...................... Passed 0.14 sec
Start 179: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix
226/268 Test #175: pyinit::nrniv_pydef_python_check_sys_base_prefix ......................... Passed 0.14 sec
Start 181: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix
227/268 Test #179: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix .................... Passed 0.14 sec
Start 183: pyinit::nrniv_pydef_python_check_sys_stderr.encoding
228/268 Test #177: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix ...................... Passed 0.14 sec
Start 185: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding
229/268 Test #181: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix ................. Passed 0.14 sec
Start 187: pyinit::nrniv_pydef_python_check_sys_stdin.encoding
230/268 Test #185: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding .................. Passed 0.14 sec
Start 189: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding
231/268 Test #183: pyinit::nrniv_pydef_python_check_sys_stderr.encoding ..................... Passed 0.14 sec
Start 191: pyinit::nrniv_pydef_python_check_sys_stdout.encoding
232/268 Test #187: pyinit::nrniv_pydef_python_check_sys_stdin.encoding ...................... Passed 0.20 sec
Start 193: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding
233/268 Test #191: pyinit::nrniv_pydef_python_check_sys_stdout.encoding ..................... Passed 0.19 sec
Start 195: pyinit::nrniv_pydef_python_command_import_neuron
234/268 Test #189: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding ................... Passed 0.23 sec
Start 196: pyinit::pydef_command_import_neuron
235/268 Test #193: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding .................. Passed 0.17 sec
Start 197: pyinit::nrniv_pydef_hoc_command_nrnpython
236/268 Test #197: pyinit::nrniv_pydef_hoc_command_nrnpython ................................ Passed 0.21 sec
Start 198: external_nrntest
237/268 Test #195: pyinit::nrniv_pydef_python_command_import_neuron ......................... Passed 0.80 sec
Start 218: testcorenrn_bbcore::compare_results
238/268 Test #196: pyinit::pydef_command_import_neuron ...................................... Passed 0.79 sec
Start 224: testcorenrn_conc::compare_results
239/268 Test #218: testcorenrn_bbcore::compare_results ...................................... Passed 0.17 sec
Start 230: testcorenrn_deriv::compare_results
240/268 Test #224: testcorenrn_conc::compare_results ........................................ Passed 0.15 sec
Start 236: testcorenrn_gf::compare_results
241/268 Test #230: testcorenrn_deriv::compare_results ....................................... Passed 0.04 sec
Start 242: testcorenrn_kin::compare_results
242/268 Test #236: testcorenrn_gf::compare_results .......................................... Passed 0.04 sec
Start 247: testcorenrn_patstim::compare_results
243/268 Test #242: testcorenrn_kin::compare_results ......................................... Passed 0.04 sec
Start 253: testcorenrn_vecplay::compare_results
244/268 Test #247: testcorenrn_patstim::compare_results ..................................... Passed 0.04 sec
Start 265: testcorenrn_watch::compare_results
245/268 Test #253: testcorenrn_vecplay::compare_results ..................................... Passed 0.03 sec
Start 268: testcorenrn_netstimdirect::compare_results
246/268 Test #265: testcorenrn_watch::compare_results ....................................... Passed 0.03 sec
247/268 Test #268: testcorenrn_netstimdirect::compare_results ............................... Passed 0.03 sec
Start 75: parallel::partrans
248/268 Test #75: parallel::partrans ....................................................... Passed 1.18 sec
Start 76: parallel::netpar
249/268 Test #76: parallel::netpar ......................................................... Passed 1.03 sec
Start 77: parallel::bas
250/268 Test #77: parallel::bas ............................................................ Passed 3.19 sec
Start 78: parallel::nrntest_fast
251/268 Test #78: parallel::nrntest_fast ................................................... Passed 1.32 sec
Start 99: coreneuron_modtests::spikes_mpi_file_mode_py_cpu
252/268 Test #99: coreneuron_modtests::spikes_mpi_file_mode_py_cpu ......................... Passed 1.25 sec
Start 100: coreneuron_modtests::inputpresyn_py_cpu
253/268 Test #100: coreneuron_modtests::inputpresyn_py_cpu .................................. Passed 1.18 sec
254/268 Test #198: external_nrntest ......................................................... Passed 31.80 sec
Start 258: testcorenrn_vecevent::coreneuron_cpu_offline::preparation
255/268 Test #258: testcorenrn_vecevent::coreneuron_cpu_offline::preparation ................ Passed 0.34 sec
Start 199: reduced_dentate::neuron
256/268 Test #199: reduced_dentate::neuron .................................................. Passed 21.94 sec
Start 200: reduced_dentate::coreneuron_cpu
257/268 Test #200: reduced_dentate::coreneuron_cpu .......................................... Passed 23.03 sec
Start 210: external_ringtest::coreneuron_cpu_mpi_threads
258/268 Test #210: external_ringtest::coreneuron_cpu_mpi_threads ............................ Passed 1.27 sec
Start 211: external_ringtest::coreneuron_cpu_mpi_threads_python
259/268 Test #211: external_ringtest::coreneuron_cpu_mpi_threads_python ..................... Passed 1.42 sec
Start 254: testcorenrn_vecevent::neuron
260/268 Test #254: testcorenrn_vecevent::neuron ............................................. Passed 0.39 sec
Start 255: testcorenrn_vecevent::coreneuron_cpu_online
261/268 Test #255: testcorenrn_vecevent::coreneuron_cpu_online .............................. Passed 0.81 sec
Start 256: testcorenrn_vecevent::coreneuron_cpu_online_psolve_alternate
262/268 Test #256: testcorenrn_vecevent::coreneuron_cpu_online_psolve_alternate ............. Passed 1.12 sec
Start 257: testcorenrn_vecevent::coreneuron_cpu_offline
263/268 Test #257: testcorenrn_vecevent::coreneuron_cpu_offline ............................. Passed 0.36 sec
Start 74: parallel::subworld
264/268 Test #74: parallel::subworld ....................................................... Passed 1.27 sec
Start 101: coreneuron_modtests::test_subworlds_py_cpu
265/268 Test #101: coreneuron_modtests::test_subworlds_py_cpu ............................... Passed 2.01 sec
Start 201: reduced_dentate::compare_results
Start 212: external_ringtest::compare_results
Start 259: testcorenrn_vecevent::compare_results
266/268 Test #201: reduced_dentate::compare_results ......................................... Passed 0.04 sec
267/268 Test #212: external_ringtest::compare_results ....................................... Passed 0.05 sec
268/268 Test #259: testcorenrn_vecevent::compare_results .................................... Passed 0.05 sec
100% tests passed, 0 tests failed out of 268
Total Test time (real) = 130.72 sec
$ cmake --build . --target install
[0/2] Re-checking globbed directories...
[1/5] Update hh.mod for CoreNEURON compatibility
[2/5] Generating help_data.dat
[3/5] cd /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/src/nrnoc && /opt/homebrew/Cellar/cmake/3.24.2/bin/cmake -E env PROJECT_VERSION=9.0.0 bash /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/git2nrnversion_h.sh /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn > nrnversion.h.tmp && /opt/homebrew/Cellar/cmake/3.24.2/bin/cmake -E copy_if_different nrnversion.h.tmp nrnversion.h
fatal: No names found, cannot describe anything.
[4/5] Running utility command for hoc_module
INFO:root:setup.py called with:setup.py build --cmake-build-dir /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build --disable-rx3d --without-nrnpython --build-lib=/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib/python build_ext --define=NRN_ASAN_ENABLED,USE_PYTHON,NRN_ENABLE_THREADS
INFO:root:Setting SDKROOT=/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk
WARNING:root:You are building a wheel with a Python built for macOS >=12.0.0. Your wheel won't run on older versions, consider using an official Python build from python.org
WARNING:root:Setting MACOSX_DEPLOYMENT_TARGET=12.0
INFO:root:Extension common compile flags defaultdict(<class 'list'>, {'library_dirs': ['/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/build/lib'], 'libraries': ['nrniv'], 'language': 'c++'})
INFO:root:RX3D is DISABLED
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/venv/lib/python3.10/site-packages/setuptools/__init__.py:84: _DeprecatedInstaller: setuptools.installer and fetch_build_eggs are deprecated.
!!
********************************************************************************
Requirements should be satisfied by a PEP 517 installer.
If you are using pip, you can try `pip install --use-pep517`.
********************************************************************************
!!
dist.fetch_build_eggs(dist.setup_requires)
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/venv/lib/python3.10/site-packages/setuptools_scm/git.py:135: UserWarning: "/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn" is shallow and may cause errors
warnings.warn(f'"{wd.path}" is shallow and may cause errors')
INFO:root:running build
INFO:root:running build_py
INFO:root:running build_ext
INFO:root:==> Building Python extensions
INFO:root:running build_scripts
[4/5] Install the project...
-- Install configuration: "Custom"
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/nrn-enable-sanitizer
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/mod2c_core
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/mod2c/nrnunits.lib
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/libcoreneuron-core.a
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/nrniv
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/nrniv/profiler_interface.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/nrniv/nrniv_decl.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/engine.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/nrnconf.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/gpu
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/gpu/nrn_acc_manager.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mechanism
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mechanism/membfunc.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mechanism/register_mech.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mechanism/mechanism.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech_mapping.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mechanism/eion.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech/mod2c_core_thread.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech/cfile
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech/cfile/cabvars.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mpi
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mpi/core
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mpi/core/nrnmpi.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mpi/nrnmpidec.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mpi/nrnmpi.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mpi/lib
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mpi/lib/nrnmpi.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/mpi/nrnmpiuse.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/config
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/config/config.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/config/version_macros.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/config/neuron_version.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/coreneuron.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/network
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/network/multisend.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/network/have2want.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/network/netpar.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/network/tnode.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/network/netcon.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/network/partrans.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/network/tqueue.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/network/netcvode.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/nrn2core_direct.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/mech_report.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/file_utils.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/core2nrn_data_return.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/prcellstate.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/nrn_setup.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/output_spikes.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/lfp.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/setup_fornetcon.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/nrn_checkpoint.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/user_params.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/nrnsection_mapping.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/nrn_filehandler.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/mem_layout_util.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/phase1.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/reports
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/reports/report_event.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/reports/report_handler.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/reports/sonata_report_handler.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/reports/nrnreport.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/io/phase2.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/vrecitem.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/utils_cuda.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/nrnmutdec.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/string_utils.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/nrn_assert.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/nrnoc_aux.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/progressbar
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/progressbar/progressbar.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/memory_utils.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/profile
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/profile/profiler_interface.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/lpt.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/offload.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/memory.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/units.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/utils.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/randoms
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/randoms/nrnran123.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/nrn_stats.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/utils/ivocvect.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/nrnoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/nrnoc/md2redef.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/nrnoc/md1redef.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/membrane_definitions.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/sim
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/sim/multicore.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/sim/fast_imem.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/crout_thread.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/errcodes.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/newton_struct.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/newton_thread.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/ssimplic_thread.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/sparse_thread.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/apps
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/apps/corenrn_parameters.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/permute
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/permute/node_permute.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/permute/cellorder.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include//coreneuron/permute/data_layout.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/coreneuron/mod_func.c.pl
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/coreneuron/enginemech.cpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/mod2c_core
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/mod2c/nrnunits.lib
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/nrniv-core
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/coreneuron/coreneuron.cpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/libcorenrnmech_internal.dylib
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/crout_thread.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnrandom.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hocassrt.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/multicore.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnconf.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/wrap_sprintf.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/simeq.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/ocmisc.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/multisplit.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/oc_ansi.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hoclist.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/membfunc.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnmpidec.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnversionmacros.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/scoplib.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/sparse.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/membdef.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/neuron.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/newton_struct.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrncvode
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrncvode/nrnneosm.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/bbsavestate.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nmodlmutex.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/euler_thread.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hocdec.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/md2redef.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hocgetsym.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/section.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/euler.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/cabvars.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/options.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnmpi.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnran123.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnapi.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrncvode.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnsemanticversion.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/spmatrix.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/ocfunc.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hoc_membf.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnisaac.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/parse_with_deps.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/newton_thread.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnredef.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/cspredef.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/dimplic.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hoc.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/newton.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/crout.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnmpiuse.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/md1redef.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrniv_mf.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrn_ansi.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/deflate.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/errcodes.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrniv
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrniv/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrniv/nrniv_decl.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/engine.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrnconf.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/gpu
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/gpu/nrn_acc_manager.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/membfunc.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/register_mech.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/mechanism.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/eion.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/mod2c_core_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile/cabvars.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/core
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/core/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpidec.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpi.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/lib
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/lib/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpiuse.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/config
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/config/config.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/config/version_macros.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/config/neuron_version.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/coreneuron.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/multisend.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/have2want.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/netpar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/tnode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/netcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/partrans.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/tqueue.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/netcvode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/nrn2core_direct.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/mech_report.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/file_utils.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/core2nrn_data_return.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/prcellstate.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/nrn_setup.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/output_spikes.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/lfp.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/setup_fornetcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/nrn_checkpoint.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/user_params.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/nrnsection_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/nrn_filehandler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/mem_layout_util.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/phase1.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/reports
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_event.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/reports/sonata_report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/reports/nrnreport.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/phase2.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/vrecitem.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/utils_cuda.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/nrnmutdec.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/string_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_assert.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/nrnoc_aux.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar/progressbar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/memory_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/profile
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/profile/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/lpt.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/offload.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/memory.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/units.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/utils.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/randoms
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/randoms/nrnran123.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_stats.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/ivocvect.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrnoc
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md2redef.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md1redef.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/membrane_definitions.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/multicore.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/fast_imem.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/crout_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/errcodes.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_struct.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/ssimplic_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/sparse_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/apps
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/apps/corenrn_parameters.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/permute
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/permute/node_permute.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/permute/cellorder.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/permute/data_layout.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnassrt.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/spconfig.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/runge.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnoc_ml.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnpy_hoc.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/mech_api.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/mcran4.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/ssimplic_thread.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hocparse.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/ssimplic.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/ReinterpretCtr.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/xlcfeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/pgccfeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/fujitsufeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/metalfeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/gccfeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/iccfeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/open64features.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/sunprofeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/compilerfeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/clangfeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/crayfeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/sse.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/msvcfeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/openclfeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/nvccfeatures.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/threefry.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/conventional
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/conventional/Engine.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/conventional/gsl_cbrng.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/philox.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/gsl_microrng.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/aes.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/array.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/MicroURNG.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/u01fixedpt.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/boxmuller.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/uniform.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/ars.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/sparse_thread.hpp
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/treeset.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/cspmatrix.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/modfile
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/modfile/stim.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/modfile/passive.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/modfile/expsyn.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/modfile/svclmp.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/modfile/exp2syn.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/modfile/netstim.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/modfile/hh.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/modfile/pattern.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/coreneuron/nrnivmodl_core_makefile
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/nrnivmodl-core
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/nrniv
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/nocmodl
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/modlunit
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/libnrniv.dylib
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/nrnmain.cpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnmpiuse.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrncvode/nrnneosm.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnconf.h
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/nrngui
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/neurondemo
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/nrnivmodl
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/nrnmech_makefile
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/sortspike
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/mkthreadsafe
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/nrnpyenv.sh
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/bin/set_nrnpyenv.sh
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/librxdmath.dylib
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/hclass3.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/hoc.cpython-310-darwin.so
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/expect_hocerr.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/psection.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/neuroml
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/neuroml/metadata.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/neuroml/morphml.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/neuroml/xml2nrn.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/neuroml/__init__.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/neuroml/neuroml.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/neuroml/rdxml.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/neuroml/biophysics.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/config.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/units.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/sections.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdException.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/options.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/plugins.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdsection.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/species.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdmath.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/rate.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/region.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/multiCompartmentReaction.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/constants.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/dimension3.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__init__.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/section1d.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/plugins.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rxdsection.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/multiCompartmentReaction.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rangevar.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rxdmath.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/reaction.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rate.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/generalizedReaction.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/initializer.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/species.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/region.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/nodelist.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rxdException.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/options.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/node.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/constants.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rxd.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/geometry.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/dimension3.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/__init__.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/section1d.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/export.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/initializer.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/rangevar.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/geometry.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxd.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/generalizedReaction.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/nodelist.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/node.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/gui.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/rxd/reaction.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/_subclass.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/test_all.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/__init__.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/utils
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__init__.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__/strtobool.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__/checkresult.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__/__init__.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/strtobool.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/checkresult.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_neuron.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_rxd.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_vector.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_rxd.cpython-310-pytest-7.3.1.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_vector.cpython-310-pytest-7.3.1.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_all.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_all.cpython-310-pytest-7.3.1.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_neuron.cpython-310-pytest-7.3.1.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/__init__.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/test_neuron.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/test_vector.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/tests/test_rxd.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__init__.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/_config_params.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__pycache__
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/gui.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/coreneuron.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/config.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/hclass3.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/units.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/nonvint_block_supervisor.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/psection.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/expect_hocerr.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/_config_params.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/doc.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/__init__.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/coreneuron.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/doc.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/gui2
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/gui2/setup_threejs.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/gui2/config.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/gui2/__init__.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/gui2/rangevar.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/gui2/plotshape.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/gui2/utilities.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/crxd
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/crxd/__init__.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/help_data.dat
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/gui.py
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/nonvint_block_supervisor.py
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/lib/python/neuron/help_data.dat
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/shape.cm2
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/helpdict
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/stdgui.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/loadbal.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/family.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/thresh.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/attshape.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/corenrn.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/gatherv.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/shapebox.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir/lincir1.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir/elmbase.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir/linmech.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir/lingraph.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/grapher.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/vplay.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mknrndll.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/inserter.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/impedanx.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/impratio.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/runfit.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/showmech.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/movierun.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/parcom.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/noload.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/vecwrap.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/prcellstate.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/nrngui.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/funfit.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/wingroup.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/electrod.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/gategui.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/properties.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/chanbild1.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/kschanbg.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/const.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/ksgate.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/ksstate.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/kstgui.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/sigmoid.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/kstrans.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/table.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/exp.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/linoid.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/inhomofn.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celmemb.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celgeom.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celbild1.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celset.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celtopol.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celmang.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/psubset.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mkcurve.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/single.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/pointgrp.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/subiter.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/single1.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/atoltool.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/nrngui1.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/impedanc.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/modlunit.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/artcel.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/netgui.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/netdata.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/grstrlst.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/netready.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/spkplot.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/syntypes.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/edgegui.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/varmeth1.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/secanal.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/ppanal.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/artview.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/ncview.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/rcclasses.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/realcell.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/mviewgui.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/parmsets.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/mviewxml.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/allpp.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/distinct.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/parmvals.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/distinctparm.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/allcell.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/treeview.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/mview1.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/logax.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/pcchdir.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/stdrun.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/fitparm.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/mulfit1.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/eonefunc.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/eonerun.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/clampfit.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/optwrap.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/protorun.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/e_actpot.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/e_y.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/eparmlst.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/e_norm.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/e_xy.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/eoneprim.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/macload.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/netparmpi.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/pointbsr.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/binfo.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/stdlib.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_nts.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/import3d_sec.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_morphml.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_nlcda3.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_nlcda.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/import3d_gui.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_swc.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/pointman.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/nrnunits.lib.in
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/prologue.id
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/nrn.defaults.in
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/cleanup
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/shape.cm1
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/auditscripts
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/saveaudit
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/retrieve.sh
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/hocaudit.sh
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/gettarname
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/notes
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/hocload.sh
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/hh.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/singhh.ses
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/singhhchan.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/nomodel.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/dynchan.ses
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/pyramid.nrn
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/dynclamp.ses
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/demo.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/mcna.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/nacaex.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/nachan.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/release.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/camchan.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/capump.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/cachan1.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/invlfire.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/khhchan.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/cabpump.mod
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release.ses
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/pyramid.ses
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/cycle.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/d3init.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/dend3.ses
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/demo.ses
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/dend3
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/dend3/control.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/dend3/syn3.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/dend3/dend3.nrn
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/sync
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/sync/init.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/sync/start.ses
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/sync/demosync.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/sync/spkplot.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/sync/cell.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/sync/synchronize.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/dynclamp.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/singhh.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/hh.ses
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/reconst.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/testca.hoc
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/nrnunits.lib
-- Installing: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/nrn.defaults
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/lib/cleanup
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/crout_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnrandom.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hocassrt.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/multicore.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnconf.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/wrap_sprintf.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/simeq.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/ocmisc.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/multisplit.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/oc_ansi.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hoclist.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/membfunc.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnmpidec.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnversionmacros.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/scoplib.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/sparse.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/membdef.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/neuron.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/newton_struct.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrncvode
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrncvode/nrnneosm.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/bbsavestate.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nmodlmutex.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/euler_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hocdec.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/md2redef.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hocgetsym.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/section.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/euler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/cabvars.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/options.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnmpi.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnran123.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnapi.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrncvode.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnsemanticversion.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/spmatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/ocfunc.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hoc_membf.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnisaac.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/parse_with_deps.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/newton_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnredef.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/cspredef.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/dimplic.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hoc.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/newton.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/crout.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnmpiuse.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/md1redef.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrniv_mf.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrn_ansi.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/deflate.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/errcodes.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrniv
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrniv/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrniv/nrniv_decl.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/engine.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrnconf.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/gpu
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/gpu/nrn_acc_manager.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/membfunc.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/register_mech.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/mechanism.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/eion.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/mod2c_core_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile/cabvars.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/core
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/core/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpidec.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpi.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/lib
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/lib/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpiuse.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/config
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/config/config.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/config/version_macros.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/config/neuron_version.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/coreneuron.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/multisend.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/have2want.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/netpar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/tnode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/netcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/partrans.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/tqueue.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/network/netcvode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/nrn2core_direct.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/mech_report.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/file_utils.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/core2nrn_data_return.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/prcellstate.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/nrn_setup.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/output_spikes.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/lfp.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/setup_fornetcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/nrn_checkpoint.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/user_params.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/nrnsection_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/nrn_filehandler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/mem_layout_util.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/phase1.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/reports
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_event.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/reports/sonata_report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/reports/nrnreport.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/io/phase2.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/vrecitem.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/utils_cuda.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/nrnmutdec.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/string_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_assert.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/nrnoc_aux.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar/progressbar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/memory_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/profile
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/profile/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/lpt.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/offload.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/memory.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/units.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/utils.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/randoms
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/randoms/nrnran123.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_stats.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/utils/ivocvect.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrnoc
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md2redef.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md1redef.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/membrane_definitions.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/multicore.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/fast_imem.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/crout_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/errcodes.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_struct.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/ssimplic_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/sparse_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/apps
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/apps/corenrn_parameters.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/permute
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/permute/node_permute.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/permute/cellorder.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/coreneuron/permute/data_layout.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnassrt.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/spconfig.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/runge.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnoc_ml.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/nrnpy_hoc.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/mech_api.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/mcran4.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/ssimplic_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/hocparse.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/ssimplic.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/ReinterpretCtr.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/xlcfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/pgccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/fujitsufeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/metalfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/gccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/iccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/open64features.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/sunprofeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/compilerfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/clangfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/crayfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/sse.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/msvcfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/openclfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/features/nvccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/threefry.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/conventional
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/conventional/Engine.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/conventional/gsl_cbrng.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/philox.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/gsl_microrng.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/aes.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/array.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/MicroURNG.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/u01fixedpt.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/boxmuller.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/uniform.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/Random123/ars.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/sparse_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/treeset.h
-- Up-to-date: /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/include/cspmatrix.h
.: replacing existing signature
.: replacing existing signature
.: replacing existing signature
.: replacing existing signature
.: replacing existing signature
"install" of folder "nrn" of folder "cellular" of folder "hpc" of folder "J674214" of folder "P118457" of folder "1" of folder "builds" of folder "gitlab-runner" of folder "Users"
26:34: execution error: Finder got an error: AppleEvent timed out. (-1712)
/usr/bin/xcrun
/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release
cfiles =
Mod files: "cabpump.mod" "cachan1.mod" "camchan.mod" "capump.mod" "invlfire.mod" "khhchan.mod" "mcna.mod" "nacaex.mod" "nachan.mod" "release.mod"
Creating 'arm64' directory for .o files.
MODOBJS= ./cabpump.o ./cachan1.o ./camchan.o ./capump.o ./invlfire.o ./khhchan.o ./mcna.o ./nacaex.o ./nachan.o ./release.o
-> NMODL ../cabpump.mod
-> NMODL ../cachan1.mod
-> NMODL ../camchan.mod
-> Compiling mod_func.cpp
Translating camchan.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/camchan.cpp
Translating cabpump.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/cabpump.cpp
Translating cachan1.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/cachan1.cpp
Thread Safe
Thread Safe
Notice: Assignment to the GLOBAL variable, "vol", is not thread safe
-> NMODL ../capump.mod
-> NMODL ../invlfire.mod
-> NMODL ../khhchan.mod
Translating invlfire.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/invlfire.cpp
Thread Safe
Translating capump.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/capump.cpp
Translating khhchan.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/khhchan.cpp
Thread Safe
Thread Safe
-> NMODL ../mcna.mod
-> NMODL ../nachan.mod
-> NMODL ../nacaex.mod
-> NMODL ../release.mod
Translating mcna.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/mcna.cpp
Translating nacaex.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/nacaex.cpp
Thread Safe
Thread Safe
Translating nachan.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/nachan.cpp
Thread Safe
-> Compiling cabpump.cpp
-> Compiling cachan1.cpp
Translating release.mod into /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/release.cpp
-> Compiling camchan.cpp
Thread Safe
-> Compiling capump.cpp
-> Compiling invlfire.cpp
-> Compiling khhchan.cpp
-> Compiling mcna.cpp
-> Compiling nacaex.cpp
-> Compiling nachan.cpp
-> Compiling release.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
NEURON -- VERSION + HEAD (2e15478+) 2023-04-26
Duke, Yale, and the BlueBrain Project -- Copyright 1984-2022
See http://neuron.yale.edu/neuron/credits
Additional mechanisms from files
"cabpump.mod" "cachan1.mod" "camchan.mod" "capump.mod" "invlfire.mod" "khhchan.mod" "mcna.mod" "nacaex.mod" "nachan.mod" "release.mod"
$ export PATH=${INSTALL_DIR}/bin:${PATH}
$ export PYTHONPATH=$PYTHONPATH:$INSTALL_DIR/lib/python/
$ if [[ -f "${INSTALL_DIR}/bin/nrn-enable-sanitizer" ]]; then
$ echo --- bin/nrn-enable-sanitizer ---
--- bin/nrn-enable-sanitizer ---
$ cat bin/nrn-enable-sanitizer
#!/usr/bin/env bash
prefix="${NRNHOME:-/Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/install}"
export NRN_SANITIZER_PRELOAD_VAR=DYLD_INSERT_LIBRARIES
export NRN_SANITIZER_PRELOAD_VAL=/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin/libclang_rt.asan_osx_dynamic.dylib
if [[ "$1" == "--preload" ]]; then
shift
if [[ "$1" == "python" ]]; then
# nrn-enable-sanitizer --preload python is used in the CI, but for it to
# work on macOS we need to substitute the real Python binary in place of any
# shims. This is done from CMake, and PYTHON_EXECUTABLE contains the real
# binary.
shift
DYLD_INSERT_LIBRARIES=/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin/libclang_rt.asan_osx_dynamic.dylib MallocNanoZone=0 ASAN_OPTIONS=check_initialization_order=1:detect_stack_use_after_return=1:detect_leaks=0 /Users/gitlab-runner/builds/1/P118457/J674214/hpc/cellular/nrn/venv/bin/python "$@"
else
DYLD_INSERT_LIBRARIES=/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin/libclang_rt.asan_osx_dynamic.dylib MallocNanoZone=0 ASAN_OPTIONS=check_initialization_order=1:detect_stack_use_after_return=1:detect_leaks=0 "$@"
fi
else
MallocNanoZone=0 ASAN_OPTIONS=check_initialization_order=1:detect_stack_use_after_return=1:detect_leaks=0 "$@"
fi
$ echo ---
---
$ nrn_enable_sanitizer=${INSTALL_DIR}/bin/nrn-enable-sanitizer
$ nrn_enable_sanitizer_preload="${nrn_enable_sanitizer} --preload"
$ else
$ $PYTHON --version && ${nrn_enable_sanitizer_preload} python -c 'import neuron; neuron.test()'
Python 3.10.8
testBytesize (neuron.tests.test_vector.VectorTestCase)
Test that Vector.__array_interface__ returns the proper bytesize (of a double) ... ok
testEndian (neuron.tests.test_vector.VectorTestCase)
Test that Vector.__array_interface__ returns the proper byteorder (endian) ... ok
testNumpyInteraction (neuron.tests.test_vector.VectorTestCase)
Testing numpy.array <=> hoc.Vector interaction ... ok
testPerformance (neuron.tests.test_vector.VectorTestCase)
Test performance of Vector<->list,array ... ok
testABI (neuron.tests.test_neuron.NeuronTestCase)
Test use of some Py_LIMITED_API for python3. ... ok
testExtendedSection (neuron.tests.test_neuron.NeuronTestCase) ...
Executed "l = range(1000000)". Elapsed = 0.000010 s
Executed "v = h.Vector(l)". Elapsed = 0.100108 s
inplace:
Executed "v.from_python(l)". Elapsed = 0.046062 s
Executed "a = numpy.array(v)". Elapsed = 0.003586 s
inplace:
Executed "v.to_python(a)". Elapsed = 0.010370 s
Executed "v2 = h.Vector(a)". Elapsed = 0.010875 s
inplace:
Executed "v2.from_python(a)". Elapsed = 0.002191 s
Executed "l2 = list(v2)". Elapsed = 0.070863 s
inplace:
Executed "v.to_python(l2)". Elapsed = 0.007904 s
Executed "v2 = h.Vector(a[::-1])". Elapsed = 0.003433 s
Executed "a2 = numpy.array(v2)". Elapsed = 0.003635 s
test: ok
testHClass (neuron.tests.test_neuron.NeuronTestCase)
Test subclass of hoc class. ... ok
testHelp (neuron.tests.test_neuron.NeuronTestCase) ... ok
testIterators (neuron.tests.test_neuron.NeuronTestCase)
Test section, segment, mechanism, rangevar iterators. ... ok
testRxDexistence (neuron.tests.test_neuron.NeuronTestCase) ... ok
testSectionArgOrder (neuron.tests.test_neuron.NeuronTestCase)
First optional arg for Section is name (but name="name" is recommended) ... ok
testSectionCell (neuron.tests.test_neuron.NeuronTestCase)
Section.cell() internally referenced as weakref. ... ok
testSectionListIterator (neuron.tests.test_neuron.NeuronTestCase)
As of v8.0, iteration over a SectionList does not change the cas ... ok
test_newobj_err (neuron.tests.test_neuron.NeuronTestCase)
Test deletion of incompletely constructed objects ... NEURON: generate an error
near line 1
ob = new NewObj(1, 2)
^
NewObj[4].execerror("generate a...")
NewObj[4].init(0, 2)
NewObj[3].init(1, 2)
NewObj[2].execute1("ob = new N...", NewObj[2])
and others
ok
testpsection (neuron.tests.test_neuron.NeuronTestCase) ... Syntax:
.. code-block::
python
h.xpanel('name')
h.xpanel('name', [0-1])
h.xpanel()
h.xpanel(x, y)
h.xpanel(scroll)
h.xpanel(scroll, x, y)
Description:
``h.xpanel("name")``
``h.xpanel("name", [0-1])``
Title of a new panel. Every
button, menu, and value between this and a closing ``xpanel()`` command
with no arguments (or placement args) belongs to this panel.
If the form is used with a second argument equal to 1, then
the panel is laid out horizontally. Otherwise the default is vertically.
``h.xpanel()``
``h.xpanel(x, y)``
done constructing the panel. so map it to the screen with position
optionally specified.
``h.xpanel(scroll)``
``h.xpanel(scroll, x, y)``
as above but if the first arg is a number, then the value determines
whether the panel will be inside a scrollbox. Scroll = 0 means a scrollbox
will NOT be used. Scroll = 1 means the panel will be inside a scrollbox.
Scroll = -1 is the default value and whether or not a scrollbox is used
is determined by the number of panel items in comparison with the
value of the panel_scroll property in the nrn.defaults file.
Skipping because NRN_ENABLE_RX3D=False
#NewObj and #Foo in existence 3 6
after del a #NewObj and #Foo in existence 0 0
ok
----------------------------------------------------------------------
Ran 15 tests in 2.652s
OK
$ if [[ ! "${cmake_args[*]}" =~ "NRN_ENABLE_RX3D=OFF" && ! "${cmake_args[*]}" =~ "NRN_ENABLE_CORENEURON=ON" ]]; then
section_end:1682530394:step_script section_start:1682530394:archive_cache Saving cache for successful job
Creating cache mac_m1_cmake_build: [cmake, ON, OFF, OFF, address]-ramcdougal-patch-2-1-non_protected...
Runtime platform  arch=arm64 os=darwin pid=46820 revision=43b2dc3d version=15.4.0
./ccache: found 7009 matching files and directories
No URL provided, cache will not be uploaded to shared cache server. Cache will be stored only locally.
Created cache
section_end:1682530404:archive_cache section_start:1682530404:cleanup_file_variables Cleaning up project directory and file based variables
section_end:1682530404:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1682529932:resolve_secrets Resolving secrets
section_end:1682529932:resolve_secrets section_start:1682529932:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor3913506768, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 118457, build ref 2e15478ea7527495aa989eaf5bebdcb6a9ba2a26, job ID 674215
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457, optional exclusive flag , optional cpus per task flag --cpus-per-task=6, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J674215_PROD_P2160_CP0_C0
Job parameters: memory=30750M, cpus_per_task=6, duration=1:00:00, constraint=cpu ntasks=1 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1430361
job state: PD
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=1 --cpus-per-task=6 --mem=30750M --job-name=GL_J674215_PROD_P2160_CP0_C0 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --ntasks=1 --jobid=1430361 --cpus-per-task=6 --mem=30750M
section_end:1682529935:prepare_executor section_start:1682529935:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n5 via bbpv1.epfl.ch...
section_end:1682529938:prepare_script section_start:1682529938:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1682529939:get_sources section_start:1682529939:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ if [[ -n "${SPACK_ENV_FILE_URL}" && "${PARSE_GITHUB_PR_DESCRIPTIONS,,}" == "true" ]]; then
$ cat > parse_description.py << END_SCRIPT # collapsed multi-line command
$ cat parse_description.py
import os
import re
import requests
pr_info = requests.get("https://api.github.com/repos/{}/pulls/{}".format(
os.environ['CI_EXTERNAL_PULL_REQUEST_TARGET_REPOSITORY'],
os.environ['CI_EXTERNAL_PULL_REQUEST_IID']),
headers={'Accept': 'application/vnd.github.v3+json'})
pr_body = pr_info.json()["body"]
# match something like NEURON_BRANCH=foo/bar
# special case for SPACK_DEPLOYMENT_SUFFIX=foo/bar
pat = re.compile('^([A-Z0-9_]+)_([A-Z]+)=([A-Z0-9\-\_\/\+\.]+)$', re.IGNORECASE)
def parse_term(m):
ref_type = m.group(2).lower()
is_deployment_suffix = ref_type == 'suffix' and m.group(1).lower() == 'spack_deployment'
if ref_type not in {'branch', 'tag', 'ref'} and not is_deployment_suffix: return
print(m.group(1).upper() + '_' + ref_type.upper() + '=' + m.group(3))
if pr_body is not None:
for pr_body_line in pr_body.splitlines():
if not pr_body_line.startswith('CI_BRANCHES:'): continue
for config_term in pr_body_line[12:].split(','):
pat.sub(parse_term, config_term)
$ (module load unstable python-dev; python parse_description.py) > input_variables.env
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ else
$ cat input_variables.env
$ for var_to_unset in $(sed 's/^\(.*\?\)_\(BRANCH\|COMMIT\|TAG\)=.*$/\1_BRANCH\n\1_COMMIT\n\1_TAG/' input_variables.env); do # collapsed multi-line command
$ set -o allexport
$ . input_variables.env
$ set +o allexport
$ unset MODULEPATH
$ . /gpfs/bbp.cscs.ch/ssd/apps/bsd/${SPACK_DEPLOYMENT_SUFFIX}/config/modules.sh
$ echo "MODULEPATH=${MODULEPATH}" > spack_clone_variables.env
$ echo Preparing to clone Spack into ${PWD}
Preparing to clone Spack into /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674215
$ if [[ -z "${SPACK_BRANCH}" && ( -n "${SPACK_COMMIT}" || -n "${SPACK_TAG}" ) ]]; then
$ echo Checking out the ${SPACK_BRANCH} of Spack...
Checking out the develop of Spack...
$ module load unstable git
$ git clone -c feature.manyFiles=true --depth 1 --single-branch --branch ${SPACK_BRANCH} ${SPACK_URL} spack
Cloning into 'spack'...
Updating files: 24% (2507/10325) Updating files: 25% (2582/10325) Updating files: 26% (2685/10325) Updating files: 27% (2788/10325) Updating files: 28% (2891/10325) Updating files: 29% (2995/10325) Updating files: 30% (3098/10325) Updating files: 31% (3201/10325) Updating files: 32% (3304/10325) Updating files: 33% (3408/10325) Updating files: 34% (3511/10325) Updating files: 35% (3614/10325) Updating files: 36% (3717/10325) Updating files: 37% (3821/10325) Updating files: 38% (3924/10325) Updating files: 39% (4027/10325) Updating files: 39% (4093/10325) Updating files: 40% (4130/10325) Updating files: 41% (4234/10325) Updating files: 42% (4337/10325) Updating files: 43% (4440/10325) Updating files: 43% (4539/10325) Updating files: 44% (4543/10325) Updating files: 45% (4647/10325) Updating files: 46% (4750/10325) Updating files: 47% (4853/10325) Updating files: 48% (4956/10325) Updating files: 49% (5060/10325) Updating files: 50% (5163/10325) Updating files: 51% (5266/10325) Updating files: 52% (5369/10325) Updating files: 53% (5473/10325) Updating files: 54% (5576/10325) Updating files: 55% (5679/10325) Updating files: 56% (5782/10325) Updating files: 57% (5886/10325) Updating files: 58% (5989/10325) Updating files: 59% (6092/10325) Updating files: 59% (6105/10325) Updating files: 60% (6195/10325) Updating files: 61% (6299/10325) Updating files: 62% (6402/10325) Updating files: 63% (6505/10325) Updating files: 64% (6608/10325) Updating files: 65% (6712/10325) Updating files: 66% (6815/10325) Updating files: 67% (6918/10325) Updating files: 68% (7021/10325) Updating files: 69% (7125/10325) Updating files: 70% (7228/10325) Updating files: 71% (7331/10325) Updating files: 72% (7434/10325) Updating files: 73% (7538/10325) Updating files: 74% (7641/10325) Updating files: 74% (7695/10325) Updating files: 75% (7744/10325) Updating files: 76% (7847/10325) Updating files: 77% (7951/10325) Updating files: 78% (8054/10325) Updating files: 79% (8157/10325) Updating files: 80% (8260/10325) Updating files: 81% (8364/10325) Updating files: 82% (8467/10325) Updating files: 83% (8570/10325) Updating files: 84% (8673/10325) Updating files: 85% (8777/10325) Updating files: 86% (8880/10325) Updating files: 87% (8983/10325) Updating files: 88% (9086/10325) Updating files: 89% (9190/10325) Updating files: 90% (9293/10325) Updating files: 91% (9396/10325) Updating files: 92% (9499/10325) Updating files: 93% (9603/10325) Updating files: 93% (9699/10325) Updating files: 94% (9706/10325) Updating files: 95% (9809/10325) Updating files: 96% (9912/10325) Updating files: 97% (10016/10325) Updating files: 98% (10119/10325) Updating files: 99% (10222/10325) Updating files: 100% (10325/10325) Updating files: 100% (10325/10325), done.
$ export SPACK_ROOT=${PWD}/spack
$ export SPACK_RESOLVED_COMMIT=$(cd "${SPACK_ROOT}" && git rev-parse HEAD)
$ export SPACK_USER_CACHE_PATH="${CI_BUILDS_DIR}"
$ export SPACK_SYSTEM_CONFIG_PATH="/gpfs/bbp.cscs.ch/ssd/apps/bsd/${SPACK_DEPLOYMENT_SUFFIX}/config"
$ echo "SPACK_ROOT=${SPACK_ROOT}" >> spack_clone_variables.env
$ echo "SPACK_RESOLVED_COMMIT=${SPACK_RESOLVED_COMMIT}" >> spack_clone_variables.env
$ echo "SPACK_USER_CACHE_PATH=${SPACK_USER_CACHE_PATH}" >> spack_clone_variables.env
$ echo "SPACK_SYSTEM_CONFIG_PATH=${SPACK_SYSTEM_CONFIG_PATH}" >> spack_clone_variables.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457/J674215_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ env -0 | sed -nz '/^CUSTOM_ENV_/d;/^[^=]\+_\(BRANCH\|COMMIT\|TAG\)=.\+/p' | xargs -0t spack ${SPACK_EXTRA_FLAGS} configure-pipeline --ignore-packages CI_BUILD CI_COMMIT CI_DEFAULT GITLAB_PIPELINES SPACK SPACK_RESOLVED ${SPACK_SETUP_IGNORE_PACKAGE_VARIABLES} --write-commit-file=commit-mapping.env
spack configure-pipeline --ignore-packages CI_BUILD CI_COMMIT CI_DEFAULT GITLAB_PIPELINES SPACK SPACK_RESOLVED BLUECONFIGS --write-commit-file=commit-mapping.env CI_COMMIT_BRANCH=ramcdougal-patch-2 SPACK_RESOLVED_COMMIT=2c2d67c1d8cb0240b3e4b57c1a4f32c688028c7e GITLAB_PIPELINES_BRANCH=main NEURON_COMMIT=2e15478ea7527495aa989eaf5bebdcb6a9ba2a26 NMODL_BRANCH=master LIBSONATA_REPORT_BRANCH=master SPACK_BRANCH=develop CI_DEFAULT_BRANCH=master BLUECONFIGS_BRANCH=main
==> CI_COMMIT: ignoring CI_COMMIT_BRANCH=ramcdougal-patch-2
==> SPACK_RESOLVED: ignoring SPACK_RESOLVED_COMMIT=2c2d67c1d8cb0240b3e4b57c1a4f32c688028c7e
==> GITLAB_PIPELINES: ignoring GITLAB_PIPELINES_BRANCH=main
==> SPACK: ignoring SPACK_BRANCH=develop
==> CI_DEFAULT: ignoring CI_DEFAULT_BRANCH=master
==> BLUECONFIGS: ignoring BLUECONFIGS_BRANCH=main
==> nmodl: resolved branch master to f729744ef92891a0417b8298e8a6978f20017f90
==> libsonata-report: resolved branch master to ce20516d4732e2830d06528c90c07a6fbf699316
==> neuron@develop: remove branch/commit/tag
==> neuron@develop: use commit="2e15478ea7527495aa989eaf5bebdcb6a9ba2a26"
==> neuron@develop: add preferred=True
==> nmodl@develop: remove branch/commit/tag
==> nmodl@develop: use commit="f729744ef92891a0417b8298e8a6978f20017f90"
==> nmodl@develop: add preferred=True
==> libsonata-report@develop: remove branch/commit/tag
==> libsonata-report@develop: use commit="ce20516d4732e2830d06528c90c07a6fbf699316"
==> libsonata-report@develop: add preferred=True
$ (cd "${SPACK_ROOT}" && git diff)
diff --git a/bluebrain/repo-bluebrain/packages/libsonata-report/package.py b/bluebrain/repo-bluebrain/packages/libsonata-report/package.py
index 63493633..4df2d18f 100644
--- a/bluebrain/repo-bluebrain/packages/libsonata-report/package.py
+++ b/bluebrain/repo-bluebrain/packages/libsonata-report/package.py
@@ -17,7 +17,7 @@ class LibsonataReport(CMakePackage):
homepage = "https://github.com/BlueBrain/libsonatareport"
git = "https://github.com/BlueBrain/libsonatareport.git"
- version("develop", branch="master", submodules=False, get_full_repo=True)
+ version('develop', preferred=True, commit='ce20516d4732e2830d06528c90c07a6fbf699316', submodules=False, get_full_repo=True) # old: branch="master"
version("1.2", tag="1.2", submodules=False)
version("1.1.1", tag="1.1.1", submodules=False)
version("1.1", tag="1.1", submodules=False)
diff --git a/bluebrain/repo-bluebrain/packages/nmodl/package.py b/bluebrain/repo-bluebrain/packages/nmodl/package.py
index 68fcf3ba..297daf3f 100644
--- a/bluebrain/repo-bluebrain/packages/nmodl/package.py
+++ b/bluebrain/repo-bluebrain/packages/nmodl/package.py
@@ -13,7 +13,7 @@ class Nmodl(CMakePackage):
url = "https://github.com/BlueBrain/nmodl.git"
git = "https://github.com/BlueBrain/nmodl.git"
- version("develop", branch="master", submodules=True)
+ version('develop', preferred=True, commit='f729744ef92891a0417b8298e8a6978f20017f90', submodules=True) # old: branch="master"
version("llvm", branch="llvm", submodules=True)
version("0.5.c", commit="c3b0736")
version("0.5.b", commit="243453d")
diff --git a/bluebrain/repo-patches/packages/neuron/package.py b/bluebrain/repo-patches/packages/neuron/package.py
index 6f9b8f25..1f9d4ccf 100644
--- a/bluebrain/repo-patches/packages/neuron/package.py
+++ b/bluebrain/repo-patches/packages/neuron/package.py
@@ -30,7 +30,7 @@ class Neuron(CMakePackage):
# Patch for recent CMake versions that don't identify NVHPC as PGI
patch("patch-v800-cmake-nvhpc.patch", when="@8.0.0%nvhpc^cmake@3.20:")
- version("develop", branch="master")
+ version('develop', preferred=True, commit='2e15478ea7527495aa989eaf5bebdcb6a9ba2a26') # old: branch="master"
version("9.0.a5", commit="522c866")
version("9.0.a4", commit="de2c927")
version("9.0.a3", commit="afce1ef")
$ cat commit-mapping.env
NEURON_COMMIT=2e15478ea7527495aa989eaf5bebdcb6a9ba2a26
NMODL_COMMIT=f729744ef92891a0417b8298e8a6978f20017f90
LIBSONATA_REPORT_COMMIT=ce20516d4732e2830d06528c90c07a6fbf699316
$ echo "SPACK_BRANCH=${SPACK_BRANCH}" >> commit-mapping.env
$ echo "SPACK_DEPLOYMENT_SUFFIX=${SPACK_DEPLOYMENT_SUFFIX}" >> commit-mapping.env
$ cat commit-mapping.env >> spack_clone_variables.env
$ spack ${SPACK_EXTRA_FLAGS} spec -IL ninja
==> Warning: Expected user 904556 to own /nvme/bbpcihpcproj12, but it is owned by 0
==> Bootstrapping clingo from pre-built binaries
==> Fetching https://mirror.spack.io/bootstrap/github-actions/v0.4/build_cache/linux-centos7-x86_64-gcc-10.2.1-clingo-bootstrap-spack-prqkzynv2nwko5mktitebgkeumuxkveu.spec.json
==> Fetching https://mirror.spack.io/bootstrap/github-actions/v0.4/build_cache/linux-centos7-x86_64/gcc-10.2.1/clingo-bootstrap-spack/linux-centos7-x86_64-gcc-10.2.1-clingo-bootstrap-spack-prqkzynv2nwko5mktitebgkeumuxkveu.spack
==> Installing "clingo-bootstrap@spack%gcc@10.2.1~docs~ipo+python+static_libstdcpp build_type=Release arch=linux-centos7-x86_64" from a buildcache
Input spec
--------------------------------
- ninja
Concretized
--------------------------------
[^] zk4skoxmkt7do2mk5lgnesas7ol3hhgg ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
$ echo "SPACK_SETUP_COMMIT_MAPPING_URL=${CI_API_V4_URL}/projects/${CI_PROJECT_ID}/jobs/${CI_JOB_ID}/artifacts/commit-mapping.env" >> spack_clone_variables.env
$ spack ${SPACK_EXTRA_FLAGS} config --scope site add "config:ccache:true"
$ echo "SPACK_USE_CCACHE=true" >> spack_clone_variables.env
$ echo "BLUECONFIGS_BRANCH=${BLUECONFIGS_BRANCH}" >> commit-mapping.env
$ echo "BLUECONFIGS_BRANCH=${BLUECONFIGS_BRANCH}" >> spack_clone_variables.env
section_end:1682530026:step_script section_start:1682530026:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=249260 revision=58ba2b95 version=14.2.0
commit-mapping.env: found 1 matching files and directories
input_variables.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=674215 responseStatus=201 Created token=64_sRiCL
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=249292 revision=58ba2b95 version=14.2.0
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=674215 responseStatus=201 Created token=64_sRiCL
section_end:1682530028:upload_artifacts_on_success section_start:1682530028:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1682530029:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1682531321:resolve_secrets Resolving secrets
section_end:1682531321:resolve_secrets section_start:1682531321:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor1346955384, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 118457, build ref 2e15478ea7527495aa989eaf5bebdcb6a9ba2a26, job ID 674226
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457, optional exclusive flag , optional cpus per task flag --cpus-per-task=1, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J674226_PROD_P2160_CP1_C2
Job parameters: memory=76G, cpus_per_task=1, duration=1:00:00, constraint=cpu ntasks=16 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1430471
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=16 --cpus-per-task=1 --mem=76G --job-name=GL_J674226_PROD_P2160_CP1_C2 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --ntasks=16 --jobid=1430471 --cpus-per-task=1 --mem=76G
section_end:1682531323:prepare_executor section_start:1682531323:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n5 via bbpv1.epfl.ch...
section_end:1682531328:prepare_script section_start:1682531328:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1682531331:get_sources section_start:1682531331:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:neuron:mod2c:intel:shared (674218)...
Runtime platform  arch=amd64 os=linux pid=286366 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=674218 responseStatus=200 OK token=64_Hq5CP
section_end:1682531333:download_artifacts section_start:1682531333:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ export CTEST_PARALLEL_LEVEL=${SLURM_TASKS_PER_NODE}
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ cd ${SPACK_BUILD_DIR}
$ export BOOST_TEST_COLOR_OUTPUT=no
$ i_am_a_failure=0
$ spack ${SPACK_EXTRA_FLAGS} build-env ${SPACK_FULL_SPEC} -- ctest --no-tests=error --output-on-failure -T Test || i_am_a_failure=1
Site: r1i4n5
Build name: Linux-icpc
Create new tag: 20230426-1750 - Experimental
Test project /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674218/spack-build/spack-stage-neuron-develop-xyehqj5ncmcdmgrzxmfdvjbsmzwir57u/spack-build-xyehqj5
Start 203: external_ringtest::coreneuron_cpu_mpi_offline::preparation
Start 211: testcorenrn_bbcore::coreneuron_cpu_offline::preparation
Start 217: testcorenrn_conc::coreneuron_cpu_offline::preparation
Start 223: testcorenrn_deriv::coreneuron_cpu_offline::preparation
Start 229: testcorenrn_gf::coreneuron_cpu_offline::preparation
Start 235: testcorenrn_kin::coreneuron_cpu_offline::preparation
Start 240: testcorenrn_patstim::coreneuron_cpu_offline::preparation
Start 246: testcorenrn_vecplay::coreneuron_cpu_offline::preparation
Start 252: testcorenrn_vecevent::coreneuron_cpu_offline::preparation
1/277 Test #211: testcorenrn_bbcore::coreneuron_cpu_offline::preparation .................. Passed 3.13 sec
Start 122: pyinit::nrniv_py3.10_python_check_sys_path::preparation
2/277 Test #217: testcorenrn_conc::coreneuron_cpu_offline::preparation .................... Passed 3.78 sec
Start 124: pyinit::nrniv_py3.10_nrnpython_check_sys_path::preparation
3/277 Test #122: pyinit::nrniv_py3.10_python_check_sys_path::preparation .................. Passed 0.92 sec
Start 126: pyinit::nrniv_py3.10_python_check_sys_prefix::preparation
4/277 Test #223: testcorenrn_deriv::coreneuron_cpu_offline::preparation ................... Passed 4.17 sec
Start 128: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix::preparation
5/277 Test #235: testcorenrn_kin::coreneuron_cpu_offline::preparation ..................... Passed 4.31 sec
Start 130: pyinit::nrniv_py3.10_python_check_sys_exec_prefix::preparation
6/277 Test #124: pyinit::nrniv_py3.10_nrnpython_check_sys_path::preparation ............... Passed 0.92 sec
Start 132: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix::preparation
7/277 Test #229: testcorenrn_gf::coreneuron_cpu_offline::preparation ...................... Passed 4.81 sec
Start 258: testcorenrn_watch::coreneuron_cpu_offline::preparation
8/277 Test #126: pyinit::nrniv_py3.10_python_check_sys_prefix::preparation ................ Passed 0.92 sec
Start 134: pyinit::nrniv_py3.10_python_check_sys_base_prefix::preparation
9/277 Test #240: testcorenrn_patstim::coreneuron_cpu_offline::preparation ................. Passed 5.00 sec
Start 136: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix::preparation
Start 138: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix::preparation
10/277 Test #128: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix::preparation ............. Passed 0.86 sec
Start 140: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix::preparation
11/277 Test #246: testcorenrn_vecplay::coreneuron_cpu_offline::preparation ................. Passed 5.04 sec
Start 142: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding::preparation
Start 144: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding::preparation
12/277 Test #130: pyinit::nrniv_py3.10_python_check_sys_exec_prefix::preparation ........... Passed 0.92 sec
Start 146: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding::preparation
13/277 Test #252: testcorenrn_vecevent::coreneuron_cpu_offline::preparation ................ Passed 5.70 sec
Start 274: olfactory-bulb-3d::neuron::preparation
14/277 Test #132: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix::preparation ........ Passed 1.03 sec
Start 148: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding::preparation
15/277 Test #274: olfactory-bulb-3d::neuron::preparation ................................... Passed 0.19 sec
Start 276: olfactory-bulb-3d::coreneuron_cpu_online::preparation
16/277 Test #134: pyinit::nrniv_py3.10_python_check_sys_base_prefix::preparation ........... Passed 1.06 sec
Start 150: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding::preparation
17/277 Test #276: olfactory-bulb-3d::coreneuron_cpu_online::preparation .................... Passed 0.17 sec
Start 152: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding::preparation
Start 158: pyinit::nrniv_pydef_python_check_sys_path::preparation
Start 160: pyinit::nrniv_pydef_nrnpython_check_sys_path::preparation
Start 162: pyinit::nrniv_pydef_python_check_sys_prefix::preparation
18/277 Test #138: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix::preparation ...... Passed 1.10 sec
Start 164: pyinit::nrniv_pydef_nrnpython_check_sys_prefix::preparation
19/277 Test #140: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix::preparation ... Passed 1.09 sec
Start 166: pyinit::nrniv_pydef_python_check_sys_exec_prefix::preparation
20/277 Test #144: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding::preparation .... Passed 1.07 sec
Start 168: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix::preparation
21/277 Test #136: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix::preparation ........ Passed 1.13 sec
Start 170: pyinit::nrniv_pydef_python_check_sys_base_prefix::preparation
22/277 Test #142: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding::preparation ....... Passed 1.10 sec
Start 172: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix::preparation
23/277 Test #203: external_ringtest::coreneuron_cpu_mpi_offline::preparation ............... Passed 6.38 sec
Start 174: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix::preparation
Start 176: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix::preparation
24/277 Test #146: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding::preparation ........ Passed 1.15 sec
Start 178: pyinit::nrniv_pydef_python_check_sys_stderr.encoding::preparation
25/277 Test #148: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding::preparation ..... Passed 1.33 sec
Start 180: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding::preparation
26/277 Test #152: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding::preparation .... Passed 1.14 sec
Start 182: pyinit::nrniv_pydef_python_check_sys_stdin.encoding::preparation
27/277 Test #150: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding::preparation ....... Passed 1.33 sec
Start 184: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding::preparation
28/277 Test #158: pyinit::nrniv_pydef_python_check_sys_path::preparation ................... Passed 1.32 sec
Start 186: pyinit::nrniv_pydef_python_check_sys_stdout.encoding::preparation
29/277 Test #166: pyinit::nrniv_pydef_python_check_sys_exec_prefix::preparation ............ Passed 1.33 sec
Start 188: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding::preparation
30/277 Test #160: pyinit::nrniv_pydef_nrnpython_check_sys_path::preparation ................ Passed 1.37 sec
Start 196: external_ringtest::neuron
31/277 Test #164: pyinit::nrniv_pydef_nrnpython_check_sys_prefix::preparation .............. Passed 1.37 sec
Start 207: testcorenrn_bbcore::neuron
32/277 Test #168: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix::preparation ......... Passed 1.37 sec
Start 208: testcorenrn_bbcore::coreneuron_cpu_online
33/277 Test #172: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix::preparation ......... Passed 1.36 sec
Start 209: testcorenrn_bbcore::coreneuron_cpu_online_psolve_alternate
34/277 Test #162: pyinit::nrniv_pydef_python_check_sys_prefix::preparation ................. Passed 1.47 sec
Start 210: testcorenrn_bbcore::coreneuron_cpu_offline
35/277 Test #170: pyinit::nrniv_pydef_python_check_sys_base_prefix::preparation ............ Passed 1.50 sec
Start 213: testcorenrn_conc::neuron
36/277 Test #174: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix::preparation ....... Passed 1.40 sec
Start 214: testcorenrn_conc::coreneuron_cpu_online
37/277 Test #176: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix::preparation .... Passed 1.40 sec
Start 215: testcorenrn_conc::coreneuron_cpu_online_psolve_alternate
38/277 Test #178: pyinit::nrniv_pydef_python_check_sys_stderr.encoding::preparation ........ Passed 1.39 sec
Start 216: testcorenrn_conc::coreneuron_cpu_offline
39/277 Test #180: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding::preparation ..... Passed 1.39 sec
Start 219: testcorenrn_deriv::neuron
40/277 Test #182: pyinit::nrniv_pydef_python_check_sys_stdin.encoding::preparation ......... Passed 1.37 sec
Start 220: testcorenrn_deriv::coreneuron_cpu_online
41/277 Test #184: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding::preparation ...... Passed 1.33 sec
Start 221: testcorenrn_deriv::coreneuron_cpu_online_psolve_alternate
42/277 Test #186: pyinit::nrniv_pydef_python_check_sys_stdout.encoding::preparation ........ Passed 1.29 sec
Start 222: testcorenrn_deriv::coreneuron_cpu_offline
43/277 Test #188: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding::preparation ..... Passed 1.33 sec
Start 231: testcorenrn_kin::neuron
44/277 Test #258: testcorenrn_watch::coreneuron_cpu_offline::preparation ................... Passed 5.87 sec
Start 197: external_ringtest::neuron_mpi
45/277 Test #210: testcorenrn_bbcore::coreneuron_cpu_offline ............................... Passed 3.55 sec
Start 232: testcorenrn_kin::coreneuron_cpu_online
46/277 Test #216: testcorenrn_conc::coreneuron_cpu_offline ................................. Passed 3.61 sec
Start 233: testcorenrn_kin::coreneuron_cpu_online_psolve_alternate
47/277 Test #222: testcorenrn_deriv::coreneuron_cpu_offline ................................ Passed 3.67 sec
Start 234: testcorenrn_kin::coreneuron_cpu_offline
48/277 Test #207: testcorenrn_bbcore::neuron ............................................... Passed 5.97 sec
Start 265: channel_benchmark_hippo::neuron
49/277 Test #196: external_ringtest::neuron ................................................ Passed 6.21 sec
Start 266: channel_benchmark_hippo::coreneuron_cpu_online
50/277 Test #213: testcorenrn_conc::neuron ................................................. Passed 6.09 sec
Start 267: channel_benchmark_hippo::coreneuron_cpu_filemode
51/277 Test #219: testcorenrn_deriv::neuron ................................................ Passed 5.91 sec
Start 269: channel_benchmark_sscx::neuron
52/277 Test #231: testcorenrn_kin::neuron .................................................. Passed 5.96 sec
Start 270: channel_benchmark_sscx::coreneuron_cpu_online
53/277 Test #234: testcorenrn_kin::coreneuron_cpu_offline .................................. Passed 3.62 sec
Start 271: channel_benchmark_sscx::coreneuron_cpu_filemode
54/277 Test #214: testcorenrn_conc::coreneuron_cpu_online .................................. Passed 8.34 sec
Start 1: unit_tests::testneuron
55/277 Test #209: testcorenrn_bbcore::coreneuron_cpu_online_psolve_alternate ............... Passed 8.62 sec
Start 2: ringtest
56/277 Test #208: testcorenrn_bbcore::coreneuron_cpu_online ................................ Passed 8.72 sec
Start 3: connect_dend
57/277 Test #215: testcorenrn_conc::coreneuron_cpu_online_psolve_alternate ................. Passed 8.64 sec
Start 4: mpi_init::nrniv_mpiopt
58/277 Test #220: testcorenrn_deriv::coreneuron_cpu_online ................................. Passed 8.64 sec
Start 5: mpi_init::nrniv_nrnmpi_init
59/277 Test #221: testcorenrn_deriv::coreneuron_cpu_online_psolve_alternate ................ Passed 8.69 sec
Start 6: mpi_init::python_nrnmpi_init
60/277 Test #1: unit_tests::testneuron ................................................... Passed 1.71 sec
Start 7: mpi_init::python_mpienv
61/277 Test #4: mpi_init::nrniv_mpiopt ................................................... Passed 1.92 sec
Start 8: mpi_init::nrniv_mpiexec_mpiopt
62/277 Test #5: mpi_init::nrniv_nrnmpi_init .............................................. Passed 1.93 sec
Start 9: mpi_init::nrniv_mpiexec_nrnmpi_init
63/277 Test #2: ringtest ................................................................. Passed 3.12 sec
Start 10: mpi_init::python_mpiexec_nrnmpi_init
64/277 Test #3: connect_dend ............................................................. Passed 3.25 sec
Start 11: mpi_init::python_mpiexec_mpienv
65/277 Test #232: testcorenrn_kin::coreneuron_cpu_online ................................... Passed 8.67 sec
Start 12: pynrn::basic_tests_py3.10
66/277 Test #233: testcorenrn_kin::coreneuron_cpu_online_psolve_alternate .................. Passed 8.77 sec
Start 13: coverage_tests::cover_tests
67/277 Test #197: external_ringtest::neuron_mpi ............................................ Passed 9.93 sec
Start 198: external_ringtest::neuron_mpi_python
68/277 Test #6: mpi_init::python_nrnmpi_init ............................................. Passed 4.48 sec
Start 14: example_nmodl::ca_ap_hoc
69/277 Test #7: mpi_init::python_mpienv .................................................. Passed 4.24 sec
Start 15: example_nmodl::cabpump_hoc
70/277 Test #8: mpi_init::nrniv_mpiexec_mpiopt ........................................... Passed 4.07 sec
Start 16: example_nmodl::cachan_hoc
71/277 Test #9: mpi_init::nrniv_mpiexec_nrnmpi_init ...................................... Passed 3.86 sec
Start 17: example_nmodl::cadif_hoc
72/277 Test #14: example_nmodl::ca_ap_hoc ................................................. Passed 1.87 sec
Start 18: example_nmodl::cadifusl_hoc
73/277 Test #16: example_nmodl::cachan_hoc ................................................ Passed 1.88 sec
Start 19: example_nmodl::cadifusl1_hoc
74/277 Test #15: example_nmodl::cabpump_hoc ............................................... Passed 3.12 sec
Start 20: example_nmodl::cadifusl2_hoc
75/277 Test #18: example_nmodl::cadifusl_hoc .............................................. Passed 1.71 sec
Start 21: example_nmodl::cagk_hoc
76/277 Test #17: example_nmodl::cadif_hoc ................................................. Passed 2.61 sec
Start 22: example_nmodl::capmp_hoc
77/277 Test #19: example_nmodl::cadifusl1_hoc ............................................. Passed 1.60 sec
Start 23: example_nmodl::cv_hoc
78/277 Test #20: example_nmodl::cadifusl2_hoc ............................................. Passed 1.35 sec
Start 24: example_nmodl::fh_hoc
79/277 Test #21: example_nmodl::cagk_hoc .................................................. Passed 1.14 sec
Start 25: example_nmodl::fit1_hoc
80/277 Test #10: mpi_init::python_mpiexec_nrnmpi_init ..................................... Passed 7.36 sec
Start 26: example_nmodl::gap_hoc
81/277 Test #22: example_nmodl::capmp_hoc ................................................. Passed 1.08 sec
Start 27: example_nmodl::hh1_hoc
82/277 Test #11: mpi_init::python_mpiexec_mpienv .......................................... Passed 7.39 sec
Start 28: example_nmodl::hhvect_hoc
83/277 Test #23: example_nmodl::cv_hoc .................................................... Passed 1.00 sec
Start 29: example_nmodl::nacum_hoc
84/277 Test #24: example_nmodl::fh_hoc .................................................... Passed 0.74 sec
Start 30: example_nmodl::nadifl1_hoc
85/277 Test #25: example_nmodl::fit1_hoc .................................................. Passed 0.74 sec
Start 31: example_nmodl::nadifl2_hoc
86/277 Test #27: example_nmodl::hh1_hoc ................................................... Passed 0.67 sec
Start 32: example_nmodl::nadifl3_hoc
87/277 Test #28: example_nmodl::hhvect_hoc ................................................ Passed 0.66 sec
Start 33: example_nmodl::nadifl4_hoc
88/277 Test #26: example_nmodl::gap_hoc ................................................... Passed 0.91 sec
Start 34: example_nmodl::obj_ex_hoc
89/277 Test #29: example_nmodl::nacum_hoc ................................................. Passed 0.80 sec
Start 35: example_nmodl::order_hoc
90/277 Test #30: example_nmodl::nadifl1_hoc ............................................... Passed 0.79 sec
Start 36: example_nmodl::passiv_hoc
91/277 Test #34: example_nmodl::obj_ex_hoc ................................................ Passed 1.17 sec
Start 37: example_nmodl::stim1_hoc
92/277 Test #32: example_nmodl::nadifl3_hoc ............................................... Passed 1.54 sec
Start 38: example_nmodl::syn1_hoc
93/277 Test #31: example_nmodl::nadifl2_hoc ............................................... Passed 1.85 sec
Start 39: example_nmodl::synpre_hoc
94/277 Test #33: example_nmodl::nadifl4_hoc ............................................... Passed 1.95 sec
Start 40: example_nmodl::tstextrn_hoc
95/277 Test #37: example_nmodl::stim1_hoc ................................................. Passed 0.83 sec
Start 41: example_nmodl::tstpnt1_hoc
96/277 Test #36: example_nmodl::passiv_hoc ................................................ Passed 1.49 sec
Start 42: example_nmodl::tstpnt2_hoc
97/277 Test #198: external_ringtest::neuron_mpi_python ..................................... Passed 9.11 sec
Start 199: external_ringtest::coreneuron_cpu_mpi_offline_saverestore
98/277 Test #35: example_nmodl::order_hoc ................................................. Passed 2.31 sec
Start 43: example_nmodl::tstvec_hoc
99/277 Test #38: example_nmodl::syn1_hoc .................................................. Passed 1.23 sec
Start 44: example_nmodl::cagkftab_py
100/277 Test #39: example_nmodl::synpre_hoc ................................................ Passed 1.11 sec
Start 45: example_nmodl::expsynspine_py
101/277 Test #41: example_nmodl::tstpnt1_hoc ............................................... Passed 0.93 sec
Start 46: example_nmodl::nonlin_py
102/277 Test #40: example_nmodl::tstextrn_hoc .............................................. Passed 1.04 sec
Start 47: example_nmodl::tstpnt1_py
103/277 Test #42: example_nmodl::tstpnt2_hoc ............................................... Passed 1.28 sec
Start 48: example_nmodl::tstpnt2_py
104/277 Test #43: example_nmodl::tstvec_hoc ................................................ Passed 1.02 sec
Start 49: example_nmodl::vlag_py
105/277 Test #45: example_nmodl::expsynspine_py ............................................ Passed 3.59 sec
Start 50: hoctests::test_loadbal_hoc
106/277 Test #47: example_nmodl::tstpnt1_py ................................................ Passed 3.40 sec
Start 51: hoctests::perf1_hoc
107/277 Test #46: example_nmodl::nonlin_py ................................................. Passed 3.85 sec
Start 52: hoctests::test1_hoc
108/277 Test #49: example_nmodl::vlag_py ................................................... Passed 3.56 sec
Start 53: hoctests::test2_hoc
109/277 Test #48: example_nmodl::tstpnt2_py ................................................ Passed 3.85 sec
Start 54: hoctests::test3_hoc
110/277 Test #50: hoctests::test_loadbal_hoc ............................................... Passed 1.05 sec
Start 55: hoctests::test4_hoc
111/277 Test #51: hoctests::perf1_hoc ...................................................... Passed 1.04 sec
Start 56: hoctests::test5_hoc
112/277 Test #44: example_nmodl::cagkftab_py ............................................... Passed 4.97 sec
Start 57: hoctests::test6_hoc
113/277 Test #52: hoctests::test1_hoc ...................................................... Passed 0.89 sec
Start 58: hoctests::test7_hoc
114/277 Test #53: hoctests::test2_hoc ...................................................... Passed 0.65 sec
Start 59: hoctests::test8_hoc
115/277 Test #54: hoctests::test3_hoc ...................................................... Passed 0.74 sec
Start 60: hoctests::test9_hoc
116/277 Test #56: hoctests::test5_hoc ...................................................... Passed 0.80 sec
Start 61: hoctests::test_kschan_py
117/277 Test #55: hoctests::test4_hoc ...................................................... Passed 0.95 sec
Start 62: hoctests::test_neurondemo_py
118/277 Test #58: hoctests::test7_hoc ...................................................... Passed 0.78 sec
Start 63: hoctests::test_nrniv-launch_py
119/277 Test #57: hoctests::test6_hoc ...................................................... Passed 0.84 sec
Start 64: hoctests::test_shape_py
120/277 Test #59: hoctests::test8_hoc ...................................................... Passed 0.90 sec
Start 65: hoctests::test1_py
121/277 Test #60: hoctests::test9_hoc ...................................................... Passed 1.08 sec
Start 66: hoctests::test2_py
122/277 Test #13: coverage_tests::cover_tests .............................................. Passed 16.56 sec
Start 67: hoctests::test9_py
123/277 Test #63: hoctests::test_nrniv-launch_py ........................................... Passed 2.05 sec
Start 73: coreneuron_standalone::test_nrn_corenrn_standalone
124/277 Test #61: hoctests::test_kschan_py ................................................. Passed 3.16 sec
Start 74: nmodl_tests::test_table
125/277 Test #62: hoctests::test_neurondemo_py ............................................. Passed 3.26 sec
Start 75: nmodl_tests::test_disc
126/277 Test #65: hoctests::test1_py ....................................................... Passed 3.45 sec
Start 76: nmodl_tests::test_function_table
127/277 Test #66: hoctests::test2_py ....................................................... Passed 3.37 sec
Start 77: nmodl_tests::test_kinetic
128/277 Test #64: hoctests::test_shape_py .................................................. Passed 3.95 sec
Start 78: coreneuron_modtests::version_macros
129/277 Test #67: hoctests::test9_py ....................................................... Passed 3.48 sec
Start 79: coreneuron_modtests::fornetcon_py_cpu
130/277 Test #266: channel_benchmark_hippo::coreneuron_cpu_online ........................... Passed 31.32 sec
Start 80: coreneuron_modtests::direct_py_cpu
131/277 Test #270: channel_benchmark_sscx::coreneuron_cpu_online ............................ Passed 30.32 sec
Start 81: coreneuron_modtests::direct_hoc_cpu
132/277 Test #269: channel_benchmark_sscx::neuron ........................................... Passed 30.72 sec
Start 82: coreneuron_modtests::spikes_py_cpu
133/277 Test #267: channel_benchmark_hippo::coreneuron_cpu_filemode ......................... Passed 31.69 sec
Start 83: coreneuron_modtests::spikes_file_mode_py_cpu
134/277 Test #265: channel_benchmark_hippo::neuron .......................................... Passed 32.55 sec
Start 84: coreneuron_modtests::fast_imem_py_cpu
135/277 Test #271: channel_benchmark_sscx::coreneuron_cpu_filemode .......................... Passed 30.17 sec
Start 85: coreneuron_modtests::datareturn_py_cpu
136/277 Test #199: external_ringtest::coreneuron_cpu_mpi_offline_saverestore ................ Passed 18.63 sec
Start 200: external_ringtest::coreneuron_cpu_mpi
137/277 Test #81: coreneuron_modtests::direct_hoc_cpu ...................................... Passed 5.81 sec
Start 86: coreneuron_modtests::test_units_py_cpu
138/277 Test #73: coreneuron_standalone::test_nrn_corenrn_standalone ....................... Passed 18.07 sec
Start 87: coreneuron_modtests::test_netmove_py_cpu
139/277 Test #200: external_ringtest::coreneuron_cpu_mpi .................................... Passed 9.38 sec
Start 201: external_ringtest::coreneuron_cpu_mpi_python
140/277 Test #74: nmodl_tests::test_table .................................................. Passed 19.59 sec
Start 88: coreneuron_modtests::test_pointer_py_cpu
141/277 Test #75: nmodl_tests::test_disc ................................................... Passed 19.81 sec
Start 89: coreneuron_modtests::test_watchrange_py_cpu
142/277 Test #76: nmodl_tests::test_function_table ......................................... Passed 19.67 sec
Start 90: coreneuron_modtests::test_psolve_py_cpu
143/277 Test #79: coreneuron_modtests::fornetcon_py_cpu .................................... Passed 19.51 sec
Start 91: coreneuron_modtests::test_ba_py_cpu
144/277 Test #77: nmodl_tests::test_kinetic ................................................ Passed 19.89 sec
Start 92: coreneuron_modtests::test_natrans_py_cpu
145/277 Test #78: coreneuron_modtests::version_macros ...................................... Passed 20.50 sec
Start 96: nmodl_tests_coreneuron::test_table_coreneuron_cpu
146/277 Test #12: pynrn::basic_tests_py3.10 ................................................ Passed 41.23 sec
Start 97: nmodl_tests_coreneuron::test_disc_coreneuron_cpu
147/277 Test #80: coreneuron_modtests::direct_py_cpu ....................................... Passed 22.90 sec
Start 98: nmodl_tests_coreneuron::test_function_table_coreneuron_cpu
148/277 Test #82: coreneuron_modtests::spikes_py_cpu ....................................... Passed 22.98 sec
Start 99: nmodl_tests_coreneuron::test_kinetic_coreneuron_cpu
149/277 Test #201: external_ringtest::coreneuron_cpu_mpi_python ............................. Passed 10.67 sec
Start 202: external_ringtest::coreneuron_cpu_mpi_offline
150/277 Test #83: coreneuron_modtests::spikes_file_mode_py_cpu ............................. Passed 23.28 sec
Start 100: modlunit_unitstest
151/277 Test #100: modlunit_unitstest ....................................................... Passed 0.47 sec
Start 101: modlunit_hh
152/277 Test #85: coreneuron_modtests::datareturn_py_cpu ................................... Passed 23.10 sec
Start 102: modlunit_stim
153/277 Test #101: modlunit_hh .............................................................. Passed 0.42 sec
Start 103: modlunit_pattern
154/277 Test #84: coreneuron_modtests::fast_imem_py_cpu .................................... Passed 23.67 sec
Start 104: pyinit::nrniv_script.py
155/277 Test #102: modlunit_stim ............................................................ Passed 0.51 sec
Start 105: pyinit::nrniv_script.py_error
156/277 Test #103: modlunit_pattern ......................................................... Passed 0.55 sec
Start 106: pyinit::nrniv_two_scripts.py
157/277 Test #105: pyinit::nrniv_script.py_error ............................................ Passed 2.03 sec
Start 107: pyinit::nrniv_two_scripts.py_error_check_code
158/277 Test #104: pyinit::nrniv_script.py .................................................. Passed 2.32 sec
Start 108: pyinit::nrniv_two_scripts.py_error_check_output
159/277 Test #106: pyinit::nrniv_two_scripts.py ............................................. Passed 2.31 sec
Start 109: pyinit::nrniv_python_command
160/277 Test #107: pyinit::nrniv_two_scripts.py_error_check_code ............................ Passed 1.63 sec
Start 110: pyinit::nrniv_python_command_error
161/277 Test #202: external_ringtest::coreneuron_cpu_mpi_offline ............................ Passed 5.09 sec
Start 225: testcorenrn_gf::neuron
162/277 Test #108: pyinit::nrniv_two_scripts.py_error_check_output .......................... Passed 1.67 sec
Start 111: pyinit::nrniv_python_script.py
163/277 Test #109: pyinit::nrniv_python_command ............................................. Passed 1.90 sec
Start 112: pyinit::nrniv_python_script.py_error
164/277 Test #86: coreneuron_modtests::test_units_py_cpu ................................... Passed 24.07 sec
Start 113: pyinit::nrniv_python_command_twice
165/277 Test #110: pyinit::nrniv_python_command_error ....................................... Passed 1.97 sec
Start 114: pyinit::nrniv_python_script.py_twice
166/277 Test #111: pyinit::nrniv_python_script.py ........................................... Passed 1.88 sec
Start 115: pyinit::nrniv_python_command_args
167/277 Test #112: pyinit::nrniv_python_script.py_error ..................................... Passed 1.92 sec
Start 116: pyinit::nrniv_python_script.py_args
168/277 Test #113: pyinit::nrniv_python_command_twice ....................................... Passed 1.91 sec
Start 117: pyinit::nrniv_nopython_PythonObject
169/277 Test #114: pyinit::nrniv_python_script.py_twice ..................................... Passed 1.99 sec
Start 118: pyinit::nrniv_nopython_PythonObject_pyexe
170/277 Test #115: pyinit::nrniv_python_command_args ........................................ Passed 2.05 sec
Start 119: pyinit::nrniv_nopython_PythonObject_pyenv
171/277 Test #116: pyinit::nrniv_python_script.py_args ...................................... Passed 1.89 sec
Start 120: pyinit::nrniv_py3.10_python_command_version_check
172/277 Test #117: pyinit::nrniv_nopython_PythonObject ...................................... Passed 1.45 sec
Start 121: pyinit::nrniv_py3.10_python_check_sys_path
173/277 Test #118: pyinit::nrniv_nopython_PythonObject_pyexe ................................ Passed 1.46 sec
Start 123: pyinit::nrniv_py3.10_nrnpython_check_sys_path
174/277 Test #119: pyinit::nrniv_nopython_PythonObject_pyenv ................................ Passed 1.46 sec
Start 125: pyinit::nrniv_py3.10_python_check_sys_prefix
175/277 Test #120: pyinit::nrniv_py3.10_python_command_version_check ........................ Passed 1.72 sec
Start 127: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix
176/277 Test #225: testcorenrn_gf::neuron ................................................... Passed 6.99 sec
Start 226: testcorenrn_gf::coreneuron_cpu_online
177/277 Test #121: pyinit::nrniv_py3.10_python_check_sys_path ............................... Passed 2.25 sec
Start 129: pyinit::nrniv_py3.10_python_check_sys_exec_prefix
178/277 Test #87: coreneuron_modtests::test_netmove_py_cpu ................................. Passed 24.76 sec
Start 131: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix
179/277 Test #123: pyinit::nrniv_py3.10_nrnpython_check_sys_path ............................ Passed 2.26 sec
Start 133: pyinit::nrniv_py3.10_python_check_sys_base_prefix
180/277 Test #125: pyinit::nrniv_py3.10_python_check_sys_prefix ............................. Passed 2.31 sec
Start 135: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix
181/277 Test #127: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix .......................... Passed 2.26 sec
Start 137: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix
182/277 Test #129: pyinit::nrniv_py3.10_python_check_sys_exec_prefix ........................ Passed 2.25 sec
Start 139: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix
183/277 Test #131: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix ..................... Passed 2.25 sec
Start 141: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding
184/277 Test #133: pyinit::nrniv_py3.10_python_check_sys_base_prefix ........................ Passed 2.33 sec
Start 143: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding
185/277 Test #91: coreneuron_modtests::test_ba_py_cpu ...................................... Passed 23.87 sec
Start 145: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding
186/277 Test #135: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix ..................... Passed 2.35 sec
Start 147: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding
187/277 Test #89: coreneuron_modtests::test_watchrange_py_cpu .............................. Passed 24.85 sec
Start 149: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding
188/277 Test #92: coreneuron_modtests::test_natrans_py_cpu ................................. Passed 24.14 sec
Start 151: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding
189/277 Test #90: coreneuron_modtests::test_psolve_py_cpu .................................. Passed 24.97 sec
Start 153: pyinit::nrniv_py3.10_python_command_import_neuron
190/277 Test #137: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix ................... Passed 2.33 sec
Start 154: pyinit::py3.10_command_import_neuron
191/277 Test #96: nmodl_tests_coreneuron::test_table_coreneuron_cpu ........................ Passed 24.53 sec
Start 155: pyinit::nrniv_py3.10_hoc_command_nrnpython
192/277 Test #139: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix ................ Passed 2.33 sec
Start 156: pyinit::nrniv_pydef_python_command_version_check
193/277 Test #141: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding .................... Passed 2.37 sec
Start 157: pyinit::nrniv_pydef_python_check_sys_path
194/277 Test #97: nmodl_tests_coreneuron::test_disc_coreneuron_cpu ......................... Passed 24.57 sec
Start 159: pyinit::nrniv_pydef_nrnpython_check_sys_path
195/277 Test #143: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding ................. Passed 2.40 sec
Start 161: pyinit::nrniv_pydef_python_check_sys_prefix
196/277 Test #145: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding ..................... Passed 2.38 sec
Start 163: pyinit::nrniv_pydef_nrnpython_check_sys_prefix
197/277 Test #147: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding .................. Passed 2.41 sec
Start 165: pyinit::nrniv_pydef_python_check_sys_exec_prefix
198/277 Test #149: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding .................... Passed 2.50 sec
Start 167: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix
199/277 Test #151: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding ................. Passed 2.46 sec
Start 169: pyinit::nrniv_pydef_python_check_sys_base_prefix
200/277 Test #155: pyinit::nrniv_py3.10_hoc_command_nrnpython ............................... Passed 1.93 sec
Start 171: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix
201/277 Test #156: pyinit::nrniv_pydef_python_command_version_check ......................... Passed 2.08 sec
Start 173: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix
202/277 Test #157: pyinit::nrniv_pydef_python_check_sys_path ................................ Passed 2.30 sec
Start 175: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix
203/277 Test #159: pyinit::nrniv_pydef_nrnpython_check_sys_path ............................. Passed 2.54 sec
Start 177: pyinit::nrniv_pydef_python_check_sys_stderr.encoding
204/277 Test #163: pyinit::nrniv_pydef_nrnpython_check_sys_prefix ........................... Passed 2.35 sec
Start 179: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding
205/277 Test #161: pyinit::nrniv_pydef_python_check_sys_prefix .............................. Passed 2.52 sec
Start 181: pyinit::nrniv_pydef_python_check_sys_stdin.encoding
206/277 Test #165: pyinit::nrniv_pydef_python_check_sys_exec_prefix ......................... Passed 2.53 sec
Start 183: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding
207/277 Test #169: pyinit::nrniv_pydef_python_check_sys_base_prefix ......................... Passed 2.40 sec
Start 185: pyinit::nrniv_pydef_python_check_sys_stdout.encoding
208/277 Test #167: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix ...................... Passed 2.52 sec
Start 187: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding
209/277 Test #171: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix ...................... Passed 2.46 sec
Start 189: pyinit::nrniv_pydef_python_command_import_neuron
210/277 Test #173: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix .................... Passed 2.47 sec
Start 190: pyinit::pydef_command_import_neuron
211/277 Test #154: pyinit::py3.10_command_import_neuron ..................................... Passed 5.23 sec
Start 191: pyinit::nrniv_pydef_hoc_command_nrnpython
212/277 Test #88: coreneuron_modtests::test_pointer_py_cpu ................................. Passed 31.44 sec
Start 192: external_nrntest
213/277 Test #153: pyinit::nrniv_py3.10_python_command_import_neuron ........................ Passed 5.73 sec
Start 212: testcorenrn_bbcore::compare_results
214/277 Test #175: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix ................. Passed 2.32 sec
Start 218: testcorenrn_conc::compare_results
215/277 Test #177: pyinit::nrniv_pydef_python_check_sys_stderr.encoding ..................... Passed 2.44 sec
Start 224: testcorenrn_deriv::compare_results
216/277 Test #179: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding .................. Passed 2.35 sec
Start 236: testcorenrn_kin::compare_results
217/277 Test #226: testcorenrn_gf::coreneuron_cpu_online .................................... Passed 10.23 sec
Start 227: testcorenrn_gf::coreneuron_cpu_online_psolve_alternate
218/277 Test #181: pyinit::nrniv_pydef_python_check_sys_stdin.encoding ...................... Passed 2.38 sec
Start 268: channel_benchmark_hippo::compare_results
219/277 Test #183: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding ................... Passed 2.30 sec
Start 272: channel_benchmark_sscx::compare_results
220/277 Test #185: pyinit::nrniv_pydef_python_check_sys_stdout.encoding ..................... Passed 2.31 sec
221/277 Test #187: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding .................. Passed 2.46 sec
Start 228: testcorenrn_gf::coreneuron_cpu_offline
222/277 Test #212: testcorenrn_bbcore::compare_results ...................................... Passed 1.34 sec
223/277 Test #218: testcorenrn_conc::compare_results ........................................ Passed 1.33 sec
Start 237: testcorenrn_patstim::neuron
224/277 Test #191: pyinit::nrniv_pydef_hoc_command_nrnpython ................................ Passed 1.80 sec
225/277 Test #98: nmodl_tests_coreneuron::test_function_table_coreneuron_cpu ............... Passed 23.75 sec
Start 238: testcorenrn_patstim::coreneuron_cpu_offline_saverestore
226/277 Test #99: nmodl_tests_coreneuron::test_kinetic_coreneuron_cpu ...................... Passed 23.70 sec
227/277 Test #224: testcorenrn_deriv::compare_results ....................................... Passed 1.18 sec
Start 239: testcorenrn_patstim::coreneuron_cpu_offline
228/277 Test #236: testcorenrn_kin::compare_results ......................................... Passed 1.32 sec
229/277 Test #268: channel_benchmark_hippo::compare_results ................................. Passed 1.22 sec
Start 242: testcorenrn_vecplay::neuron
230/277 Test #272: channel_benchmark_sscx::compare_results .................................. Passed 1.24 sec
231/277 Test #190: pyinit::pydef_command_import_neuron ...................................... Passed 4.80 sec
Start 243: testcorenrn_vecplay::coreneuron_cpu_online
232/277 Test #189: pyinit::nrniv_pydef_python_command_import_neuron ......................... Passed 5.27 sec
233/277 Test #228: testcorenrn_gf::coreneuron_cpu_offline ................................... Passed 4.37 sec
Start 244: testcorenrn_vecplay::coreneuron_cpu_online_psolve_alternate
234/277 Test #239: testcorenrn_patstim::coreneuron_cpu_offline .............................. Passed 4.21 sec
Start 245: testcorenrn_vecplay::coreneuron_cpu_offline
235/277 Test #237: testcorenrn_patstim::neuron .............................................. Passed 5.91 sec
Start 254: testcorenrn_watch::neuron
236/277 Test #242: testcorenrn_vecplay::neuron .............................................. Passed 5.78 sec
Start 255: testcorenrn_watch::coreneuron_cpu_online
237/277 Test #227: testcorenrn_gf::coreneuron_cpu_online_psolve_alternate ................... Passed 8.46 sec
Start 256: testcorenrn_watch::coreneuron_cpu_online_psolve_alternate
Start 230: testcorenrn_gf::compare_results
238/277 Test #245: testcorenrn_vecplay::coreneuron_cpu_offline .............................. Passed 3.43 sec
Start 257: testcorenrn_watch::coreneuron_cpu_offline
239/277 Test #230: testcorenrn_gf::compare_results .......................................... Passed 1.18 sec
240/277 Test #243: testcorenrn_vecplay::coreneuron_cpu_online ............................... Passed 7.43 sec
Start 260: testcorenrn_netstimdirect::direct_netstimdirect
241/277 Test #254: testcorenrn_watch::neuron ................................................ Passed 5.40 sec
Start 261: testcorenrn_netstimdirect::direct_netstimdirect_psolve_alternate
242/277 Test #257: testcorenrn_watch::coreneuron_cpu_offline ................................ Passed 3.57 sec
Start 69: parallel::partrans
243/277 Test #244: testcorenrn_vecplay::coreneuron_cpu_online_psolve_alternate .............. Passed 7.39 sec
Start 70: parallel::netpar
Start 247: testcorenrn_vecplay::compare_results
244/277 Test #247: testcorenrn_vecplay::compare_results ..................................... Passed 1.33 sec
245/277 Test #238: testcorenrn_patstim::coreneuron_cpu_offline_saverestore .................. Passed 13.56 sec
Start 71: parallel::bas
Start 241: testcorenrn_patstim::compare_results
246/277 Test #255: testcorenrn_watch::coreneuron_cpu_online ................................. Passed 8.16 sec
Start 72: parallel::nrntest_fast
247/277 Test #241: testcorenrn_patstim::compare_results ..................................... Passed 1.39 sec
248/277 Test #256: testcorenrn_watch::coreneuron_cpu_online_psolve_alternate ................ Passed 8.56 sec
Start 93: coreneuron_modtests::spikes_mpi_file_mode_py_cpu
Start 259: testcorenrn_watch::compare_results
249/277 Test #259: testcorenrn_watch::compare_results ....................................... Passed 1.64 sec
250/277 Test #260: testcorenrn_netstimdirect::direct_netstimdirect .......................... Passed 9.24 sec
Start 94: coreneuron_modtests::inputpresyn_py_cpu
251/277 Test #69: parallel::partrans ....................................................... Passed 9.23 sec
252/277 Test #70: parallel::netpar ......................................................... Passed 9.19 sec
Start 193: reduced_dentate::neuron
253/277 Test #261: testcorenrn_netstimdirect::direct_netstimdirect_psolve_alternate ......... Passed 9.56 sec
Start 262: testcorenrn_netstimdirect::compare_results
254/277 Test #262: testcorenrn_netstimdirect::compare_results ............................... Passed 1.15 sec
255/277 Test #93: coreneuron_modtests::spikes_mpi_file_mode_py_cpu ......................... Passed 9.05 sec
Start 194: reduced_dentate::coreneuron_cpu
256/277 Test #94: coreneuron_modtests::inputpresyn_py_cpu .................................. Passed 7.49 sec
257/277 Test #71: parallel::bas ............................................................ Passed 17.74 sec
Start 248: testcorenrn_vecevent::neuron
258/277 Test #193: reduced_dentate::neuron .................................................. Passed 11.86 sec
Start 249: testcorenrn_vecevent::coreneuron_cpu_online
259/277 Test #72: parallel::nrntest_fast ................................................... Passed 21.52 sec
260/277 Test #248: testcorenrn_vecevent::neuron ............................................. Passed 6.71 sec
Start 204: external_ringtest::coreneuron_cpu_mpi_threads
261/277 Test #194: reduced_dentate::coreneuron_cpu .......................................... Passed 14.72 sec
Start 250: testcorenrn_vecevent::coreneuron_cpu_online_psolve_alternate
Start 195: reduced_dentate::compare_results
262/277 Test #195: reduced_dentate::compare_results ......................................... Passed 1.17 sec
263/277 Test #249: testcorenrn_vecevent::coreneuron_cpu_online .............................. Passed 8.81 sec
Start 251: testcorenrn_vecevent::coreneuron_cpu_offline
264/277 Test #251: testcorenrn_vecevent::coreneuron_cpu_offline ............................. Passed 5.08 sec
Start 273: olfactory-bulb-3d::neuron
265/277 Test #204: external_ringtest::coreneuron_cpu_mpi_threads ............................ Passed 8.74 sec
Start 205: external_ringtest::coreneuron_cpu_mpi_threads_python
266/277 Test #250: testcorenrn_vecevent::coreneuron_cpu_online_psolve_alternate ............. Passed 9.80 sec
Start 275: olfactory-bulb-3d::coreneuron_cpu_online
Start 253: testcorenrn_vecevent::compare_results
267/277 Test #253: testcorenrn_vecevent::compare_results .................................... Passed 1.26 sec
268/277 Test #205: external_ringtest::coreneuron_cpu_mpi_threads_python ..................... Passed 8.66 sec
Start 68: parallel::subworld
Start 206: external_ringtest::compare_results
269/277 Test #206: external_ringtest::compare_results ....................................... Passed 0.79 sec
270/277 Test #68: parallel::subworld ....................................................... Passed 8.32 sec
Start 95: coreneuron_modtests::test_subworlds_py_cpu
271/277 Test #95: coreneuron_modtests::test_subworlds_py_cpu ............................... Passed 6.31 sec
272/277 Test #275: olfactory-bulb-3d::coreneuron_cpu_online ................................. Passed 47.05 sec
273/277 Test #273: olfactory-bulb-3d::neuron ................................................ Passed 60.40 sec
Start 277: olfactory-bulb-3d::compare_results
274/277 Test #277: olfactory-bulb-3d::compare_results ....................................... Passed 1.11 sec
275/277 Test #192: external_nrntest ......................................................... Passed 651.31 sec
Start 263: tqperf::coreneuron_special
276/277 Test #263: tqperf::coreneuron_special ............................................... Passed 15.72 sec
Start 264: tqperf::coreneuron_python
277/277 Test #264: tqperf::coreneuron_python ................................................ Passed 16.25 sec
100% tests passed, 0 tests failed out of 277
Total Test time (real) = 773.39 sec
$ cp -r Testing/ ${CI_PROJECT_DIR}/
$ module load unstable unit-test-translator
Autoloading python/3.10.8
$ cmake2junit > ${CI_PROJECT_DIR}/ctest.xml
$ exit ${i_am_a_failure}
section_end:1682532186:step_script section_start:1682532186:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=14388 revision=58ba2b95 version=14.2.0
initial_environment.env: found 1 matching files and directories
Testing/: found 7 matching files and directories 
Uploading artifacts as "archive" to coordinator... ok id=674226 responseStatus=201 Created token=64_Hq5CP
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=14477 revision=58ba2b95 version=14.2.0
ctest.xml: found 1 matching files and directories 
Uploading artifacts as "junit" to coordinator... ok id=674226 responseStatus=201 Created token=64_Hq5CP
section_end:1682532189:upload_artifacts_on_success section_start:1682532189:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1682532191:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1682531723:resolve_secrets Resolving secrets
section_end:1682531723:resolve_secrets section_start:1682531723:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor3037011301, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 118457, build ref 2e15478ea7527495aa989eaf5bebdcb6a9ba2a26, job ID 674229
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457, optional exclusive flag , optional cpus per task flag --cpus-per-task=2, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J674229_PROD_P2160_CP2_C3
Job parameters: memory=76G, cpus_per_task=2, duration=1:00:00, constraint=volta ntasks=16 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
Submitted batch job 1430568
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=16 --cpus-per-task=2 --mem=76G --job-name=GL_J674229_PROD_P2160_CP2_C3 -C volta --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P118457 --ntasks=16 --jobid=1430568 --cpus-per-task=2 --mem=76G
section_end:1682531725:prepare_executor section_start:1682531725:prepare_script Preparing environment
Using git from spack modules
Running on ldir01u09.bbp.epfl.ch via bbpv1.epfl.ch...
section_end:1682531733:prepare_script section_start:1682531733:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1682531735:get_sources section_start:1682531735:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:neuron:mod2c:nvhpc:acc:shared (674221)...
Runtime platform  arch=amd64 os=linux pid=8190 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=674221 responseStatus=200 OK token=64_NHUJF
section_end:1682531736:download_artifacts section_start:1682531736:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ export CTEST_PARALLEL_LEVEL=${SLURM_TASKS_PER_NODE}
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ cd ${SPACK_BUILD_DIR}
$ export BOOST_TEST_COLOR_OUTPUT=no
$ i_am_a_failure=0
$ spack ${SPACK_EXTRA_FLAGS} build-env ${SPACK_FULL_SPEC} -- ctest --no-tests=error --output-on-failure -T Test || i_am_a_failure=1
Site: r1i4n5
Build name: Linux-nvc++
Create new tag: 20230426-1756 - Experimental
Test project /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P118457/J674221/spack-build/spack-stage-neuron-develop-hifxqzmog4bmg6bsloqo7kwm3k7ncn4b/spack-build-hifxqzm
Start 225: external_ringtest::coreneuron_cpu_mpi_offline::preparation
Start 232: external_ringtest::coreneuron_gpu_mpi_offline::preparation
Start 240: testcorenrn_bbcore::coreneuron_gpu_offline::preparation
Start 244: testcorenrn_bbcore::coreneuron_cpu_offline::preparation
Start 250: testcorenrn_conc::coreneuron_gpu_offline::preparation
Start 254: testcorenrn_conc::coreneuron_cpu_offline::preparation
Start 260: testcorenrn_deriv::coreneuron_gpu_offline::preparation
Start 264: testcorenrn_deriv::coreneuron_cpu_offline::preparation
Start 270: testcorenrn_gf::coreneuron_gpu_offline::preparation
Start 274: testcorenrn_gf::coreneuron_cpu_offline::preparation
Start 280: testcorenrn_kin::coreneuron_gpu_offline::preparation
Start 284: testcorenrn_kin::coreneuron_cpu_offline::preparation
1/347 Test #240: testcorenrn_bbcore::coreneuron_gpu_offline::preparation .................. Passed 3.32 sec
Start 289: testcorenrn_patstim::coreneuron_gpu_offline::preparation
2/347 Test #250: testcorenrn_conc::coreneuron_gpu_offline::preparation .................... Passed 3.40 sec
Start 143: pyinit::nrniv_py3.10_python_check_sys_path::preparation
3/347 Test #143: pyinit::nrniv_py3.10_python_check_sys_path::preparation .................. Passed 0.06 sec
Start 145: pyinit::nrniv_py3.10_nrnpython_check_sys_path::preparation
4/347 Test #145: pyinit::nrniv_py3.10_nrnpython_check_sys_path::preparation ............... Passed 0.05 sec
Start 147: pyinit::nrniv_py3.10_python_check_sys_prefix::preparation
5/347 Test #147: pyinit::nrniv_py3.10_python_check_sys_prefix::preparation ................ Passed 0.05 sec
Start 149: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix::preparation
6/347 Test #260: testcorenrn_deriv::coreneuron_gpu_offline::preparation ................... Passed 3.58 sec
Start 151: pyinit::nrniv_py3.10_python_check_sys_exec_prefix::preparation
7/347 Test #244: testcorenrn_bbcore::coreneuron_cpu_offline::preparation .................. Passed 3.61 sec
Start 153: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix::preparation
8/347 Test #149: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix::preparation ............. Passed 0.06 sec
Start 155: pyinit::nrniv_py3.10_python_check_sys_base_prefix::preparation
9/347 Test #254: testcorenrn_conc::coreneuron_cpu_offline::preparation .................... Passed 3.63 sec
Start 157: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix::preparation
10/347 Test #151: pyinit::nrniv_py3.10_python_check_sys_exec_prefix::preparation ........... Passed 0.07 sec
Start 159: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix::preparation
11/347 Test #264: testcorenrn_deriv::coreneuron_cpu_offline::preparation ................... Passed 3.66 sec
Start 161: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix::preparation
12/347 Test #153: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix::preparation ........ Passed 0.08 sec
Start 163: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding::preparation
13/347 Test #280: testcorenrn_kin::coreneuron_gpu_offline::preparation ..................... Passed 3.67 sec
Start 165: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding::preparation
14/347 Test #284: testcorenrn_kin::coreneuron_cpu_offline::preparation ..................... Passed 3.68 sec
Start 167: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding::preparation
15/347 Test #155: pyinit::nrniv_py3.10_python_check_sys_base_prefix::preparation ........... Passed 0.11 sec
Start 169: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding::preparation
16/347 Test #157: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix::preparation ........ Passed 0.12 sec
Start 171: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding::preparation
17/347 Test #159: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix::preparation ...... Passed 0.20 sec
Start 173: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding::preparation
18/347 Test #161: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix::preparation ... Passed 0.22 sec
Start 179: pyinit::nrniv_pydef_python_check_sys_path::preparation
19/347 Test #163: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding::preparation ....... Passed 0.26 sec
Start 181: pyinit::nrniv_pydef_nrnpython_check_sys_path::preparation
20/347 Test #165: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding::preparation .... Passed 0.26 sec
Start 183: pyinit::nrniv_pydef_python_check_sys_prefix::preparation
21/347 Test #167: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding::preparation ........ Passed 0.26 sec
Start 185: pyinit::nrniv_pydef_nrnpython_check_sys_prefix::preparation
22/347 Test #169: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding::preparation ..... Passed 0.27 sec
Start 187: pyinit::nrniv_pydef_python_check_sys_exec_prefix::preparation
23/347 Test #171: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding::preparation ....... Passed 0.26 sec
Start 189: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix::preparation
24/347 Test #173: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding::preparation .... Passed 0.26 sec
Start 191: pyinit::nrniv_pydef_python_check_sys_base_prefix::preparation
25/347 Test #179: pyinit::nrniv_pydef_python_check_sys_path::preparation ................... Passed 0.26 sec
Start 193: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix::preparation
26/347 Test #181: pyinit::nrniv_pydef_nrnpython_check_sys_path::preparation ................ Passed 0.28 sec
Start 195: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix::preparation
27/347 Test #183: pyinit::nrniv_pydef_python_check_sys_prefix::preparation ................. Passed 0.27 sec
Start 197: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix::preparation
28/347 Test #185: pyinit::nrniv_pydef_nrnpython_check_sys_prefix::preparation .............. Passed 0.27 sec
Start 199: pyinit::nrniv_pydef_python_check_sys_stderr.encoding::preparation
29/347 Test #187: pyinit::nrniv_pydef_python_check_sys_exec_prefix::preparation ............ Passed 0.26 sec
Start 201: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding::preparation
30/347 Test #189: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix::preparation ......... Passed 0.28 sec
Start 203: pyinit::nrniv_pydef_python_check_sys_stdin.encoding::preparation
31/347 Test #191: pyinit::nrniv_pydef_python_check_sys_base_prefix::preparation ............ Passed 0.31 sec
Start 205: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding::preparation
32/347 Test #193: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix::preparation ......... Passed 0.33 sec
Start 207: pyinit::nrniv_pydef_python_check_sys_stdout.encoding::preparation
33/347 Test #195: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix::preparation ....... Passed 0.35 sec
Start 209: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding::preparation
34/347 Test #197: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix::preparation .... Passed 0.36 sec
Start 218: external_ringtest::neuron
35/347 Test #199: pyinit::nrniv_pydef_python_check_sys_stderr.encoding::preparation ........ Passed 0.36 sec
Start 236: testcorenrn_bbcore::neuron
36/347 Test #201: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding::preparation ..... Passed 0.35 sec
Start 237: testcorenrn_bbcore::coreneuron_gpu_online
37/347 Test #270: testcorenrn_gf::coreneuron_gpu_offline::preparation ...................... Passed 4.62 sec
Start 292: testcorenrn_patstim::coreneuron_cpu_offline::preparation
38/347 Test #203: pyinit::nrniv_pydef_python_check_sys_stdin.encoding::preparation ......... Passed 0.35 sec
Start 238: testcorenrn_bbcore::coreneuron_gpu_online_psolve_alternate
39/347 Test #205: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding::preparation ...... Passed 0.38 sec
Start 239: testcorenrn_bbcore::coreneuron_gpu_offline
40/347 Test #207: pyinit::nrniv_pydef_python_check_sys_stdout.encoding::preparation ........ Passed 0.34 sec
Start 241: testcorenrn_bbcore::coreneuron_cpu_online
41/347 Test #209: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding::preparation ..... Passed 0.24 sec
Start 242: testcorenrn_bbcore::coreneuron_cpu_online_psolve_alternate
42/347 Test #274: testcorenrn_gf::coreneuron_cpu_offline::preparation ...................... Passed 5.07 sec
Start 298: testcorenrn_vecplay::coreneuron_gpu_offline::preparation
43/347 Test #218: external_ringtest::neuron ................................................ Passed 1.53 sec
Start 243: testcorenrn_bbcore::coreneuron_cpu_offline
44/347 Test #289: testcorenrn_patstim::coreneuron_gpu_offline::preparation ................. Passed 3.05 sec
Start 246: testcorenrn_conc::neuron
45/347 Test #236: testcorenrn_bbcore::neuron ............................................... Passed 2.24 sec
Start 247: testcorenrn_conc::coreneuron_gpu_online
46/347 Test #232: external_ringtest::coreneuron_gpu_mpi_offline::preparation ............... Passed 7.44 sec
Start 302: testcorenrn_vecplay::coreneuron_cpu_offline::preparation
47/347 Test #225: external_ringtest::coreneuron_cpu_mpi_offline::preparation ............... Passed 7.52 sec
Start 318: testcorenrn_watch::coreneuron_gpu_offline::preparation
48/347 Test #241: testcorenrn_bbcore::coreneuron_cpu_online ................................ Passed 3.11 sec
Start 248: testcorenrn_conc::coreneuron_gpu_online_psolve_alternate
49/347 Test #298: testcorenrn_vecplay::coreneuron_gpu_offline::preparation ................. Passed 2.96 sec
Start 322: testcorenrn_watch::coreneuron_cpu_offline::preparation
50/347 Test #292: testcorenrn_patstim::coreneuron_cpu_offline::preparation ................. Passed 3.48 sec
Start 219: external_ringtest::neuron_mpi
51/347 Test #243: testcorenrn_bbcore::coreneuron_cpu_offline ............................... Passed 2.02 sec
Start 249: testcorenrn_conc::coreneuron_gpu_offline
52/347 Test #246: testcorenrn_conc::neuron ................................................. Passed 1.79 sec
Start 251: testcorenrn_conc::coreneuron_cpu_online
53/347 Test #242: testcorenrn_bbcore::coreneuron_cpu_online_psolve_alternate ............... Passed 3.40 sec
Start 252: testcorenrn_conc::coreneuron_cpu_online_psolve_alternate
54/347 Test #239: testcorenrn_bbcore::coreneuron_gpu_offline ............................... Passed 3.94 sec
Start 253: testcorenrn_conc::coreneuron_cpu_offline
55/347 Test #302: testcorenrn_vecplay::coreneuron_cpu_offline::preparation ................. Passed 1.61 sec
Start 220: external_ringtest::neuron_mpi_python
56/347 Test #318: testcorenrn_watch::coreneuron_gpu_offline::preparation ................... Passed 1.62 sec
Start 221: external_ringtest::coreneuron_cpu_mpi_offline_saverestore
57/347 Test #322: testcorenrn_watch::coreneuron_cpu_offline::preparation ................... Passed 1.89 sec
Start 222: external_ringtest::coreneuron_cpu_mpi
58/347 Test #253: testcorenrn_conc::coreneuron_cpu_offline ................................. Passed 1.67 sec
Start 256: testcorenrn_deriv::neuron
59/347 Test #251: testcorenrn_conc::coreneuron_cpu_online .................................. Passed 2.31 sec
Start 257: testcorenrn_deriv::coreneuron_gpu_online
60/347 Test #252: testcorenrn_conc::coreneuron_cpu_online_psolve_alternate ................. Passed 2.34 sec
Start 258: testcorenrn_deriv::coreneuron_gpu_online_psolve_alternate
61/347 Test #219: external_ringtest::neuron_mpi ............................................ Passed 2.61 sec
Start 223: external_ringtest::coreneuron_cpu_mpi_python
62/347 Test #220: external_ringtest::neuron_mpi_python ..................................... Passed 2.52 sec
Start 224: external_ringtest::coreneuron_cpu_mpi_offline
63/347 Test #256: testcorenrn_deriv::neuron ................................................ Passed 1.50 sec
Start 259: testcorenrn_deriv::coreneuron_gpu_offline
64/347 Test #222: external_ringtest::coreneuron_cpu_mpi .................................... Passed 2.67 sec
Start 228: external_ringtest::coreneuron_gpu_mpi_offline_saverestore
65/347 Test #237: testcorenrn_bbcore::coreneuron_gpu_online ................................ Passed 8.04 sec
Start 261: testcorenrn_deriv::coreneuron_cpu_online
66/347 Test #223: external_ringtest::coreneuron_cpu_mpi_python ............................. Passed 2.70 sec
Start 229: external_ringtest::coreneuron_gpu_mpi
67/347 Test #224: external_ringtest::coreneuron_cpu_mpi_offline ............................ Passed 2.53 sec
Start 230: external_ringtest::coreneuron_gpu_mpi_python
68/347 Test #261: testcorenrn_deriv::coreneuron_cpu_online ................................. Passed 1.98 sec
Start 262: testcorenrn_deriv::coreneuron_cpu_online_psolve_alternate
69/347 Test #238: testcorenrn_bbcore::coreneuron_gpu_online_psolve_alternate ............... Passed 10.17 sec
Start 263: testcorenrn_deriv::coreneuron_cpu_offline
70/347 Test #263: testcorenrn_deriv::coreneuron_cpu_offline ................................ Passed 1.76 sec
Start 276: testcorenrn_kin::neuron
71/347 Test #262: testcorenrn_deriv::coreneuron_cpu_online_psolve_alternate ................ Passed 2.13 sec
Start 277: testcorenrn_kin::coreneuron_gpu_online
72/347 Test #221: external_ringtest::coreneuron_cpu_mpi_offline_saverestore ................ Passed 8.41 sec
Start 231: external_ringtest::coreneuron_gpu_mpi_offline
73/347 Test #276: testcorenrn_kin::neuron .................................................. Passed 1.48 sec
Start 278: testcorenrn_kin::coreneuron_gpu_online_psolve_alternate
74/347 Test #228: external_ringtest::coreneuron_gpu_mpi_offline_saverestore ................ Passed 7.82 sec
Start 266: testcorenrn_gf::neuron
75/347 Test #247: testcorenrn_conc::coreneuron_gpu_online .................................. Passed 13.79 sec
Start 279: testcorenrn_kin::coreneuron_gpu_offline
76/347 Test #266: testcorenrn_gf::neuron ................................................... Passed 1.86 sec
Start 267: testcorenrn_gf::coreneuron_gpu_online
77/347 Test #249: testcorenrn_conc::coreneuron_gpu_offline ................................. Passed 17.07 sec
Start 281: testcorenrn_kin::coreneuron_cpu_online
78/347 Test #281: testcorenrn_kin::coreneuron_cpu_online ................................... Passed 1.83 sec
Start 282: testcorenrn_kin::coreneuron_cpu_online_psolve_alternate
79/347 Test #282: testcorenrn_kin::coreneuron_cpu_online_psolve_alternate .................. Passed 1.90 sec
Start 283: testcorenrn_kin::coreneuron_cpu_offline
80/347 Test #283: testcorenrn_kin::coreneuron_cpu_offline .................................. Passed 1.55 sec
Start 287: testcorenrn_patstim::coreneuron_gpu_offline_saverestore
81/347 Test #248: testcorenrn_conc::coreneuron_gpu_online_psolve_alternate ................. Passed 23.29 sec
Start 288: testcorenrn_patstim::coreneuron_gpu_offline
82/347 Test #257: testcorenrn_deriv::coreneuron_gpu_online ................................. Passed 21.77 sec
Start 329: channel_benchmark_hippo::neuron
83/347 Test #259: testcorenrn_deriv::coreneuron_gpu_offline ................................ Passed 21.62 sec
Start 330: channel_benchmark_hippo::coreneuron_gpu_online
84/347 Test #258: testcorenrn_deriv::coreneuron_gpu_online_psolve_alternate ................ Passed 24.80 sec
Start 331: channel_benchmark_hippo::coreneuron_gpu_filemode
85/347 Test #277: testcorenrn_kin::coreneuron_gpu_online ................................... Passed 22.76 sec
Start 332: channel_benchmark_hippo::coreneuron_cpu_online
86/347 Test #279: testcorenrn_kin::coreneuron_gpu_offline .................................. Passed 21.56 sec
Start 333: channel_benchmark_hippo::coreneuron_cpu_filemode
87/347 Test #229: external_ringtest::coreneuron_gpu_mpi .................................... Passed 29.76 sec
Start 268: testcorenrn_gf::coreneuron_gpu_online_psolve_alternate
88/347 Test #230: external_ringtest::coreneuron_gpu_mpi_python ............................. Passed 29.52 sec
Start 269: testcorenrn_gf::coreneuron_gpu_offline
89/347 Test #231: external_ringtest::coreneuron_gpu_mpi_offline ............................ Passed 27.58 sec
Start 271: testcorenrn_gf::coreneuron_cpu_online
90/347 Test #278: testcorenrn_kin::coreneuron_gpu_online_psolve_alternate .................. Passed 28.31 sec
Start 335: channel_benchmark_sscx::neuron
91/347 Test #271: testcorenrn_gf::coreneuron_cpu_online .................................... Passed 2.27 sec
Start 272: testcorenrn_gf::coreneuron_cpu_online_psolve_alternate
92/347 Test #272: testcorenrn_gf::coreneuron_cpu_online_psolve_alternate ................... Passed 2.42 sec
Start 273: testcorenrn_gf::coreneuron_cpu_offline
93/347 Test #288: testcorenrn_patstim::coreneuron_gpu_offline .............................. Passed 19.41 sec
Start 336: channel_benchmark_sscx::coreneuron_gpu_online
94/347 Test #267: testcorenrn_gf::coreneuron_gpu_online .................................... Passed 28.48 sec
Start 286: testcorenrn_patstim::neuron
95/347 Test #273: testcorenrn_gf::coreneuron_cpu_offline ................................... Passed 1.96 sec
Start 290: testcorenrn_patstim::coreneuron_cpu_offline_saverestore
96/347 Test #287: testcorenrn_patstim::coreneuron_gpu_offline_saverestore .................. Passed 21.40 sec
Start 337: channel_benchmark_sscx::coreneuron_gpu_filemode
97/347 Test #286: testcorenrn_patstim::neuron .............................................. Passed 1.61 sec
Start 291: testcorenrn_patstim::coreneuron_cpu_offline
98/347 Test #291: testcorenrn_patstim::coreneuron_cpu_offline .............................. Passed 1.68 sec
Start 294: testcorenrn_vecplay::neuron
99/347 Test #269: testcorenrn_gf::coreneuron_gpu_offline ................................... Passed 10.72 sec
Start 295: testcorenrn_vecplay::coreneuron_gpu_online
100/347 Test #268: testcorenrn_gf::coreneuron_gpu_online_psolve_alternate ................... Passed 13.15 sec
Start 296: testcorenrn_vecplay::coreneuron_gpu_online_psolve_alternate
101/347 Test #294: testcorenrn_vecplay::neuron .............................................. Passed 2.98 sec
Start 297: testcorenrn_vecplay::coreneuron_gpu_offline
102/347 Test #290: testcorenrn_patstim::coreneuron_cpu_offline_saverestore .................. Passed 8.92 sec
Start 299: testcorenrn_vecplay::coreneuron_cpu_online
103/347 Test #299: testcorenrn_vecplay::coreneuron_cpu_online ............................... Passed 2.03 sec
Start 300: testcorenrn_vecplay::coreneuron_cpu_online_psolve_alternate
104/347 Test #300: testcorenrn_vecplay::coreneuron_cpu_online_psolve_alternate .............. Passed 2.09 sec
Start 301: testcorenrn_vecplay::coreneuron_cpu_offline
105/347 Test #301: testcorenrn_vecplay::coreneuron_cpu_offline .............................. Passed 1.70 sec
Start 314: testcorenrn_watch::neuron
106/347 Test #314: testcorenrn_watch::neuron ................................................ Passed 1.58 sec
Start 315: testcorenrn_watch::coreneuron_gpu_online
107/347 Test #295: testcorenrn_vecplay::coreneuron_gpu_online ............................... Passed 22.48 sec
Start 316: testcorenrn_watch::coreneuron_gpu_online_psolve_alternate
108/347 Test #297: testcorenrn_vecplay::coreneuron_gpu_offline .............................. Passed 20.49 sec
Start 317: testcorenrn_watch::coreneuron_gpu_offline
109/347 Test #315: testcorenrn_watch::coreneuron_gpu_online ................................. Passed 14.30 sec
Start 319: testcorenrn_watch::coreneuron_cpu_online
110/347 Test #319: testcorenrn_watch::coreneuron_cpu_online ................................. Passed 2.06 sec
Start 320: testcorenrn_watch::coreneuron_cpu_online_psolve_alternate
111/347 Test #320: testcorenrn_watch::coreneuron_cpu_online_psolve_alternate ................ Passed 2.24 sec
Start 321: testcorenrn_watch::coreneuron_cpu_offline
112/347 Test #316: testcorenrn_watch::coreneuron_gpu_online_psolve_alternate ................ Passed 11.62 sec
Start 324: testcorenrn_netstimdirect::direct_netstimdirect
113/347 Test #321: testcorenrn_watch::coreneuron_cpu_offline ................................ Passed 1.77 sec
Start 325: testcorenrn_netstimdirect::direct_netstimdirect_psolve_alternate
114/347 Test #317: testcorenrn_watch::coreneuron_gpu_offline ................................ Passed 12.81 sec
Start 338: channel_benchmark_sscx::coreneuron_cpu_online
Start 339: channel_benchmark_sscx::coreneuron_cpu_filemode
115/347 Test #296: testcorenrn_vecplay::coreneuron_gpu_online_psolve_alternate .............. Passed 34.12 sec
Start 1: unit_tests::testneuron
Start 2: ringtest
116/347 Test #1: unit_tests::testneuron ................................................... Passed 0.07 sec
Start 3: connect_dend
117/347 Test #3: connect_dend ............................................................. Passed 0.14 sec
Start 4: mpi_init::nrniv_mpiopt
118/347 Test #2: ringtest ................................................................. Passed 0.23 sec
Start 5: mpi_init::nrniv_nrnmpi_init
119/347 Test #4: mpi_init::nrniv_mpiopt ................................................... Passed 0.07 sec
Start 6: mpi_init::python_nrnmpi_init
120/347 Test #5: mpi_init::nrniv_nrnmpi_init .............................................. Passed 0.07 sec
Start 7: mpi_init::python_mpienv
121/347 Test #6: mpi_init::python_nrnmpi_init ............................................. Passed 0.28 sec
Start 8: mpi_init::nrniv_mpiexec_mpiopt
122/347 Test #7: mpi_init::python_mpienv .................................................. Passed 0.27 sec
Start 9: mpi_init::nrniv_mpiexec_nrnmpi_init
123/347 Test #8: mpi_init::nrniv_mpiexec_mpiopt ........................................... Passed 2.51 sec
Start 10: mpi_init::python_mpiexec_nrnmpi_init
124/347 Test #9: mpi_init::nrniv_mpiexec_nrnmpi_init ...................................... Passed 2.53 sec
Start 11: mpi_init::python_mpiexec_mpienv
125/347 Test #324: testcorenrn_netstimdirect::direct_netstimdirect .......................... Passed 6.71 sec
Start 12: pynrn::basic_tests_py3.10
Start 13: coverage_tests::cover_tests
126/347 Test #325: testcorenrn_netstimdirect::direct_netstimdirect_psolve_alternate ......... Passed 6.73 sec
Start 14: example_nmodl::ca_ap_hoc
Start 15: example_nmodl::cabpump_hoc
127/347 Test #14: example_nmodl::ca_ap_hoc ................................................. Passed 0.09 sec
Start 16: example_nmodl::cachan_hoc
128/347 Test #16: example_nmodl::cachan_hoc ................................................ Passed 0.08 sec
Start 17: example_nmodl::cadif_hoc
129/347 Test #15: example_nmodl::cabpump_hoc ............................................... Passed 0.30 sec
Start 18: example_nmodl::cadifusl_hoc
130/347 Test #17: example_nmodl::cadif_hoc ................................................. Passed 0.15 sec
Start 19: example_nmodl::cadifusl1_hoc
131/347 Test #10: mpi_init::python_mpiexec_nrnmpi_init ..................................... Passed 2.08 sec
Start 20: example_nmodl::cadifusl2_hoc
132/347 Test #18: example_nmodl::cadifusl_hoc .............................................. Passed 0.12 sec
Start 21: example_nmodl::cagk_hoc
133/347 Test #11: mpi_init::python_mpiexec_mpienv .......................................... Passed 2.09 sec
Start 22: example_nmodl::capmp_hoc
134/347 Test #19: example_nmodl::cadifusl1_hoc ............................................. Passed 0.16 sec
Start 23: example_nmodl::cv_hoc
135/347 Test #20: example_nmodl::cadifusl2_hoc ............................................. Passed 0.14 sec
Start 24: example_nmodl::fh_hoc
136/347 Test #21: example_nmodl::cagk_hoc .................................................. Passed 0.16 sec
Start 25: example_nmodl::fit1_hoc
137/347 Test #23: example_nmodl::cv_hoc .................................................... Passed 0.14 sec
Start 26: example_nmodl::gap_hoc
138/347 Test #22: example_nmodl::capmp_hoc ................................................. Passed 0.24 sec
Start 27: example_nmodl::hh1_hoc
139/347 Test #24: example_nmodl::fh_hoc .................................................... Passed 0.17 sec
Start 28: example_nmodl::hhvect_hoc
140/347 Test #25: example_nmodl::fit1_hoc .................................................. Passed 0.24 sec
Start 29: example_nmodl::nacum_hoc
141/347 Test #26: example_nmodl::gap_hoc ................................................... Passed 0.23 sec
Start 30: example_nmodl::nadifl1_hoc
142/347 Test #27: example_nmodl::hh1_hoc ................................................... Passed 0.21 sec
Start 31: example_nmodl::nadifl2_hoc
143/347 Test #28: example_nmodl::hhvect_hoc ................................................ Passed 0.24 sec
Start 32: example_nmodl::nadifl3_hoc
144/347 Test #29: example_nmodl::nacum_hoc ................................................. Passed 0.18 sec
Start 33: example_nmodl::nadifl4_hoc
145/347 Test #30: example_nmodl::nadifl1_hoc ............................................... Passed 0.20 sec
Start 34: example_nmodl::obj_ex_hoc
146/347 Test #31: example_nmodl::nadifl2_hoc ............................................... Passed 0.26 sec
Start 35: example_nmodl::order_hoc
147/347 Test #32: example_nmodl::nadifl3_hoc ............................................... Passed 0.21 sec
Start 36: example_nmodl::passiv_hoc
148/347 Test #34: example_nmodl::obj_ex_hoc ................................................ Passed 0.12 sec
Start 37: example_nmodl::stim1_hoc
149/347 Test #33: example_nmodl::nadifl4_hoc ............................................... Passed 0.22 sec
Start 38: example_nmodl::syn1_hoc
150/347 Test #36: example_nmodl::passiv_hoc ................................................ Passed 0.16 sec
Start 39: example_nmodl::synpre_hoc
151/347 Test #37: example_nmodl::stim1_hoc ................................................. Passed 0.20 sec
Start 40: example_nmodl::tstextrn_hoc
152/347 Test #35: example_nmodl::order_hoc ................................................. Passed 0.28 sec
Start 41: example_nmodl::tstpnt1_hoc
153/347 Test #38: example_nmodl::syn1_hoc .................................................. Passed 0.20 sec
Start 42: example_nmodl::tstpnt2_hoc
154/347 Test #39: example_nmodl::synpre_hoc ................................................ Passed 0.22 sec
Start 43: example_nmodl::tstvec_hoc
155/347 Test #40: example_nmodl::tstextrn_hoc .............................................. Passed 0.15 sec
Start 44: example_nmodl::cagkftab_py
156/347 Test #42: example_nmodl::tstpnt2_hoc ............................................... Passed 0.16 sec
Start 45: example_nmodl::expsynspine_py
157/347 Test #41: example_nmodl::tstpnt1_hoc ............................................... Passed 0.17 sec
Start 46: example_nmodl::nonlin_py
158/347 Test #43: example_nmodl::tstvec_hoc ................................................ Passed 0.14 sec
Start 47: example_nmodl::tstpnt1_py
159/347 Test #45: example_nmodl::expsynspine_py ............................................ Passed 0.40 sec
Start 48: example_nmodl::tstpnt2_py
160/347 Test #47: example_nmodl::tstpnt1_py ................................................ Passed 0.32 sec
Start 49: example_nmodl::vlag_py
161/347 Test #48: example_nmodl::tstpnt2_py ................................................ Passed 0.27 sec
Start 50: hoctests::test_loadbal_hoc
162/347 Test #49: example_nmodl::vlag_py ................................................... Passed 0.31 sec
Start 51: hoctests::perf1_hoc
163/347 Test #50: hoctests::test_loadbal_hoc ............................................... Passed 0.09 sec
Start 52: hoctests::test1_hoc
164/347 Test #52: hoctests::test1_hoc ...................................................... Passed 0.27 sec
Start 53: hoctests::test2_hoc
165/347 Test #46: example_nmodl::nonlin_py ................................................. Passed 1.13 sec
Start 54: hoctests::test3_hoc
166/347 Test #53: hoctests::test2_hoc ...................................................... Passed 0.14 sec
Start 55: hoctests::test4_hoc
167/347 Test #13: coverage_tests::cover_tests .............................................. Passed 2.92 sec
Start 56: hoctests::test5_hoc
168/347 Test #55: hoctests::test4_hoc ...................................................... Passed 0.09 sec
Start 57: hoctests::test6_hoc
169/347 Test #54: hoctests::test3_hoc ...................................................... Passed 0.14 sec
Start 58: hoctests::test7_hoc
170/347 Test #56: hoctests::test5_hoc ...................................................... Passed 0.08 sec
Start 59: hoctests::test8_hoc
171/347 Test #58: hoctests::test7_hoc ...................................................... Passed 0.09 sec
Start 60: hoctests::test9_hoc
172/347 Test #57: hoctests::test6_hoc ...................................................... Passed 0.10 sec
Start 61: hoctests::test_kschan_py
173/347 Test #59: hoctests::test8_hoc ...................................................... Passed 0.08 sec
Start 62: hoctests::test_neurondemo_py
174/347 Test #60: hoctests::test9_hoc ...................................................... Passed 0.08 sec
Start 63: hoctests::test_nrniv-launch_py
175/347 Test #63: hoctests::test_nrniv-launch_py ........................................... Passed 0.21 sec
Start 64: hoctests::test_shape_py
176/347 Test #62: hoctests::test_neurondemo_py ............................................. Passed 0.29 sec
Start 65: hoctests::test1_py
177/347 Test #61: hoctests::test_kschan_py ................................................. Passed 0.35 sec
Start 66: hoctests::test2_py
178/347 Test #65: hoctests::test1_py ....................................................... Passed 0.28 sec
Start 67: hoctests::test9_py
179/347 Test #64: hoctests::test_shape_py .................................................. Passed 0.38 sec
Start 73: coreneuron_standalone::test_nrn_corenrn_standalone
180/347 Test #51: hoctests::perf1_hoc ...................................................... Passed 1.34 sec
Start 74: nmodl_tests::test_table
181/347 Test #66: hoctests::test2_py ....................................................... Passed 0.37 sec
Start 75: nmodl_tests::test_disc
182/347 Test #67: hoctests::test9_py ....................................................... Passed 0.39 sec
Start 76: nmodl_tests::test_function_table
183/347 Test #44: example_nmodl::cagkftab_py ............................................... Passed 2.59 sec
Start 77: nmodl_tests::test_kinetic
184/347 Test #75: nmodl_tests::test_disc ................................................... Passed 2.48 sec
Start 78: coreneuron_modtests::version_macros
185/347 Test #74: nmodl_tests::test_table .................................................. Passed 2.60 sec
Start 79: coreneuron_modtests::fornetcon_py_cpu
186/347 Test #73: coreneuron_standalone::test_nrn_corenrn_standalone ....................... Passed 2.78 sec
Start 80: coreneuron_modtests::direct_py_cpu
187/347 Test #76: nmodl_tests::test_function_table ......................................... Passed 2.56 sec
Start 81: coreneuron_modtests::direct_hoc_cpu
188/347 Test #77: nmodl_tests::test_kinetic ................................................ Passed 2.55 sec
Start 82: coreneuron_modtests::spikes_py_cpu
189/347 Test #81: coreneuron_modtests::direct_hoc_cpu ...................................... Passed 0.96 sec
Start 83: coreneuron_modtests::spikes_file_mode_py_cpu
190/347 Test #78: coreneuron_modtests::version_macros ...................................... Passed 2.87 sec
Start 84: coreneuron_modtests::fast_imem_py_cpu
191/347 Test #79: coreneuron_modtests::fornetcon_py_cpu .................................... Passed 2.91 sec
Start 85: coreneuron_modtests::datareturn_py_cpu
192/347 Test #80: coreneuron_modtests::direct_py_cpu ....................................... Passed 2.85 sec
Start 86: coreneuron_modtests::test_units_py_cpu
193/347 Test #82: coreneuron_modtests::spikes_py_cpu ....................................... Passed 2.89 sec
Start 87: coreneuron_modtests::test_netmove_py_cpu
194/347 Test #83: coreneuron_modtests::spikes_file_mode_py_cpu ............................. Passed 2.62 sec
Start 88: coreneuron_modtests::test_pointer_py_cpu
195/347 Test #12: pynrn::basic_tests_py3.10 ................................................ Passed 10.56 sec
Start 89: coreneuron_modtests::test_watchrange_py_cpu
196/347 Test #86: coreneuron_modtests::test_units_py_cpu ................................... Passed 2.65 sec
Start 90: coreneuron_modtests::test_psolve_py_cpu
197/347 Test #84: coreneuron_modtests::fast_imem_py_cpu .................................... Passed 2.89 sec
Start 91: coreneuron_modtests::test_ba_py_cpu
198/347 Test #85: coreneuron_modtests::datareturn_py_cpu ................................... Passed 3.00 sec
Start 92: coreneuron_modtests::test_natrans_py_cpu
199/347 Test #87: coreneuron_modtests::test_netmove_py_cpu ................................. Passed 2.82 sec
Start 96: nmodl_tests_coreneuron::test_table_coreneuron_cpu
200/347 Test #89: coreneuron_modtests::test_watchrange_py_cpu .............................. Passed 2.74 sec
Start 97: nmodl_tests_coreneuron::test_disc_coreneuron_cpu
201/347 Test #88: coreneuron_modtests::test_pointer_py_cpu ................................. Passed 4.05 sec
Start 98: nmodl_tests_coreneuron::test_function_table_coreneuron_cpu
202/347 Test #91: coreneuron_modtests::test_ba_py_cpu ...................................... Passed 2.62 sec
Start 99: nmodl_tests_coreneuron::test_kinetic_coreneuron_cpu
203/347 Test #90: coreneuron_modtests::test_psolve_py_cpu .................................. Passed 2.73 sec
Start 100: coreneuron_modtests::fornetcon_py_gpu
204/347 Test #96: nmodl_tests_coreneuron::test_table_coreneuron_cpu ........................ Passed 2.40 sec
Start 101: coreneuron_modtests::direct_py_gpu
205/347 Test #97: nmodl_tests_coreneuron::test_disc_coreneuron_cpu ......................... Passed 2.21 sec
Start 102: coreneuron_modtests::direct_hoc_gpu
206/347 Test #92: coreneuron_modtests::test_natrans_py_cpu ................................. Passed 3.56 sec
Start 103: coreneuron_modtests::spikes_py_gpu
207/347 Test #98: nmodl_tests_coreneuron::test_function_table_coreneuron_cpu ............... Passed 2.17 sec
Start 104: coreneuron_modtests::spikes_file_mode_py_gpu
208/347 Test #99: nmodl_tests_coreneuron::test_kinetic_coreneuron_cpu ...................... Passed 2.17 sec
Start 105: coreneuron_modtests::fast_imem_py_gpu
209/347 Test #102: coreneuron_modtests::direct_hoc_gpu ...................................... Passed 4.00 sec
Start 106: coreneuron_modtests::datareturn_py_gpu
210/347 Test #104: coreneuron_modtests::spikes_file_mode_py_gpu ............................. Passed 5.13 sec
Start 107: coreneuron_modtests::test_units_py_gpu
211/347 Test #105: coreneuron_modtests::fast_imem_py_gpu .................................... Passed 5.25 sec
Start 108: coreneuron_modtests::test_netmove_py_gpu
212/347 Test #101: coreneuron_modtests::direct_py_gpu ....................................... Passed 8.34 sec
Start 109: coreneuron_modtests::test_pointer_py_gpu
213/347 Test #100: coreneuron_modtests::fornetcon_py_gpu .................................... Passed 8.71 sec
Start 110: coreneuron_modtests::test_watchrange_py_gpu
214/347 Test #103: coreneuron_modtests::spikes_py_gpu ....................................... Passed 7.82 sec
Start 111: coreneuron_modtests::test_psolve_py_gpu
215/347 Test #107: coreneuron_modtests::test_units_py_gpu ................................... Passed 2.39 sec
Start 112: coreneuron_modtests::test_ba_py_gpu
216/347 Test #106: coreneuron_modtests::datareturn_py_gpu ................................... Passed 4.73 sec
Start 113: coreneuron_modtests::test_natrans_py_gpu
217/347 Test #108: coreneuron_modtests::test_netmove_py_gpu ................................. Passed 3.27 sec
Start 117: nmodl_tests_coreneuron::test_table_coreneuron_gpu
218/347 Test #112: coreneuron_modtests::test_ba_py_gpu ...................................... Passed 2.50 sec
Start 118: nmodl_tests_coreneuron::test_disc_coreneuron_gpu
219/347 Test #110: coreneuron_modtests::test_watchrange_py_gpu .............................. Passed 3.09 sec
Start 119: nmodl_tests_coreneuron::test_function_table_coreneuron_gpu
220/347 Test #113: coreneuron_modtests::test_natrans_py_gpu ................................. Passed 2.36 sec
Start 120: nmodl_tests_coreneuron::test_kinetic_coreneuron_gpu
221/347 Test #111: coreneuron_modtests::test_psolve_py_gpu .................................. Passed 3.19 sec
Start 121: modlunit_unitstest
222/347 Test #121: modlunit_unitstest ....................................................... Passed 0.03 sec
Start 122: modlunit_hh
223/347 Test #122: modlunit_hh .............................................................. Passed 0.02 sec
Start 123: modlunit_stim
224/347 Test #123: modlunit_stim ............................................................ Passed 0.02 sec
Start 124: modlunit_pattern
225/347 Test #124: modlunit_pattern ......................................................... Passed 0.02 sec
Start 125: pyinit::nrniv_script.py
226/347 Test #125: pyinit::nrniv_script.py .................................................. Passed 0.11 sec
Start 126: pyinit::nrniv_script.py_error
227/347 Test #126: pyinit::nrniv_script.py_error ............................................ Passed 0.14 sec
Start 127: pyinit::nrniv_two_scripts.py
228/347 Test #127: pyinit::nrniv_two_scripts.py ............................................. Passed 0.12 sec
Start 128: pyinit::nrniv_two_scripts.py_error_check_code
229/347 Test #128: pyinit::nrniv_two_scripts.py_error_check_code ............................ Passed 0.10 sec
Start 129: pyinit::nrniv_two_scripts.py_error_check_output
230/347 Test #129: pyinit::nrniv_two_scripts.py_error_check_output .......................... Passed 0.08 sec
Start 130: pyinit::nrniv_python_command
231/347 Test #130: pyinit::nrniv_python_command ............................................. Passed 0.11 sec
Start 131: pyinit::nrniv_python_command_error
232/347 Test #117: nmodl_tests_coreneuron::test_table_coreneuron_gpu ........................ Passed 2.31 sec
Start 132: pyinit::nrniv_python_script.py
233/347 Test #131: pyinit::nrniv_python_command_error ....................................... Passed 0.16 sec
Start 133: pyinit::nrniv_python_script.py_error
234/347 Test #132: pyinit::nrniv_python_script.py ........................................... Passed 0.17 sec
Start 134: pyinit::nrniv_python_command_twice
235/347 Test #133: pyinit::nrniv_python_script.py_error ..................................... Passed 0.11 sec
Start 135: pyinit::nrniv_python_script.py_twice
236/347 Test #135: pyinit::nrniv_python_script.py_twice ..................................... Passed 0.08 sec
Start 136: pyinit::nrniv_python_command_args
237/347 Test #134: pyinit::nrniv_python_command_twice ....................................... Passed 0.11 sec
Start 137: pyinit::nrniv_python_script.py_args
238/347 Test #137: pyinit::nrniv_python_script.py_args ...................................... Passed 0.09 sec
Start 138: pyinit::nrniv_nopython_PythonObject
239/347 Test #136: pyinit::nrniv_python_command_args ........................................ Passed 0.10 sec
Start 139: pyinit::nrniv_nopython_PythonObject_pyexe
240/347 Test #138: pyinit::nrniv_nopython_PythonObject ...................................... Passed 0.06 sec
Start 140: pyinit::nrniv_nopython_PythonObject_pyenv
241/347 Test #139: pyinit::nrniv_nopython_PythonObject_pyexe ................................ Passed 0.07 sec
Start 141: pyinit::nrniv_py3.10_python_command_version_check
242/347 Test #140: pyinit::nrniv_nopython_PythonObject_pyenv ................................ Passed 0.08 sec
Start 142: pyinit::nrniv_py3.10_python_check_sys_path
243/347 Test #141: pyinit::nrniv_py3.10_python_command_version_check ........................ Passed 0.14 sec
Start 144: pyinit::nrniv_py3.10_nrnpython_check_sys_path
244/347 Test #142: pyinit::nrniv_py3.10_python_check_sys_path ............................... Passed 0.15 sec
Start 146: pyinit::nrniv_py3.10_python_check_sys_prefix
245/347 Test #144: pyinit::nrniv_py3.10_nrnpython_check_sys_path ............................ Passed 0.10 sec
Start 148: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix
246/347 Test #146: pyinit::nrniv_py3.10_python_check_sys_prefix ............................. Passed 0.10 sec
Start 150: pyinit::nrniv_py3.10_python_check_sys_exec_prefix
247/347 Test #148: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix .......................... Passed 0.10 sec
Start 152: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix
248/347 Test #152: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix ..................... Passed 0.10 sec
Start 154: pyinit::nrniv_py3.10_python_check_sys_base_prefix
249/347 Test #150: pyinit::nrniv_py3.10_python_check_sys_exec_prefix ........................ Passed 0.13 sec
Start 156: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix
250/347 Test #154: pyinit::nrniv_py3.10_python_check_sys_base_prefix ........................ Passed 0.11 sec
Start 158: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix
251/347 Test #156: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix ..................... Passed 0.11 sec
Start 160: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix
252/347 Test #160: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix ................ Passed 0.10 sec
Start 162: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding
253/347 Test #158: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix ................... Passed 0.11 sec
Start 164: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding
254/347 Test #164: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding ................. Passed 0.10 sec
Start 166: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding
255/347 Test #162: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding .................... Passed 0.11 sec
Start 168: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding
256/347 Test #166: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding ..................... Passed 0.10 sec
Start 170: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding
257/347 Test #168: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding .................. Passed 0.11 sec
Start 172: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding
258/347 Test #172: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding ................. Passed 0.10 sec
Start 174: pyinit::nrniv_py3.10_python_command_import_neuron
259/347 Test #170: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding .................... Passed 0.13 sec
Start 175: pyinit::py3.10_command_import_neuron
260/347 Test #118: nmodl_tests_coreneuron::test_disc_coreneuron_gpu ......................... Passed 2.69 sec
Start 176: pyinit::nrniv_py3.10_hoc_command_nrnpython
261/347 Test #176: pyinit::nrniv_py3.10_hoc_command_nrnpython ............................... Passed 0.08 sec
Start 177: pyinit::nrniv_pydef_python_command_version_check
262/347 Test #119: nmodl_tests_coreneuron::test_function_table_coreneuron_gpu ............... Passed 2.70 sec
Start 178: pyinit::nrniv_pydef_python_check_sys_path
263/347 Test #120: nmodl_tests_coreneuron::test_kinetic_coreneuron_gpu ...................... Passed 2.65 sec
Start 180: pyinit::nrniv_pydef_nrnpython_check_sys_path
264/347 Test #109: coreneuron_modtests::test_pointer_py_gpu ................................. Passed 6.08 sec
Start 182: pyinit::nrniv_pydef_python_check_sys_prefix
265/347 Test #177: pyinit::nrniv_pydef_python_command_version_check ......................... Passed 0.23 sec
Start 184: pyinit::nrniv_pydef_nrnpython_check_sys_prefix
266/347 Test #178: pyinit::nrniv_pydef_python_check_sys_path ................................ Passed 0.29 sec
Start 186: pyinit::nrniv_pydef_python_check_sys_exec_prefix
267/347 Test #180: pyinit::nrniv_pydef_nrnpython_check_sys_path ............................. Passed 0.29 sec
Start 188: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix
268/347 Test #182: pyinit::nrniv_pydef_python_check_sys_prefix .............................. Passed 0.25 sec
Start 190: pyinit::nrniv_pydef_python_check_sys_base_prefix
269/347 Test #174: pyinit::nrniv_py3.10_python_command_import_neuron ........................ Passed 0.47 sec
Start 192: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix
270/347 Test #175: pyinit::py3.10_command_import_neuron ..................................... Passed 0.48 sec
Start 194: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix
271/347 Test #184: pyinit::nrniv_pydef_nrnpython_check_sys_prefix ........................... Passed 0.28 sec
Start 196: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix
272/347 Test #186: pyinit::nrniv_pydef_python_check_sys_exec_prefix ......................... Passed 0.29 sec
Start 198: pyinit::nrniv_pydef_python_check_sys_stderr.encoding
273/347 Test #188: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix ...................... Passed 0.31 sec
Start 200: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding
274/347 Test #190: pyinit::nrniv_pydef_python_check_sys_base_prefix ......................... Passed 0.31 sec
Start 202: pyinit::nrniv_pydef_python_check_sys_stdin.encoding
275/347 Test #192: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix ...................... Passed 0.33 sec
Start 204: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding
276/347 Test #194: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix .................... Passed 0.31 sec
Start 206: pyinit::nrniv_pydef_python_check_sys_stdout.encoding
277/347 Test #196: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix ................. Passed 0.30 sec
Start 208: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding
278/347 Test #200: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding .................. Passed 0.29 sec
Start 210: pyinit::nrniv_pydef_python_command_import_neuron
279/347 Test #202: pyinit::nrniv_pydef_python_check_sys_stdin.encoding ...................... Passed 0.29 sec
Start 211: pyinit::pydef_command_import_neuron
280/347 Test #206: pyinit::nrniv_pydef_python_check_sys_stdout.encoding ..................... Passed 0.30 sec
Start 212: pyinit::nrniv_pydef_hoc_command_nrnpython
281/347 Test #198: pyinit::nrniv_pydef_python_check_sys_stderr.encoding ..................... Passed 0.40 sec
Start 213: external_nrntest
282/347 Test #204: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding ................... Passed 0.36 sec
Start 245: testcorenrn_bbcore::compare_results
283/347 Test #208: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding .................. Passed 0.22 sec
Start 255: testcorenrn_conc::compare_results
284/347 Test #255: testcorenrn_conc::compare_results ........................................ Passed 0.15 sec
Start 265: testcorenrn_deriv::compare_results
285/347 Test #245: testcorenrn_bbcore::compare_results ...................................... Passed 0.18 sec
Start 275: testcorenrn_gf::compare_results
286/347 Test #212: pyinit::nrniv_pydef_hoc_command_nrnpython ................................ Passed 0.29 sec
Start 285: testcorenrn_kin::compare_results
287/347 Test #275: testcorenrn_gf::compare_results .......................................... Passed 0.14 sec
Start 293: testcorenrn_patstim::compare_results
288/347 Test #265: testcorenrn_deriv::compare_results ....................................... Passed 0.15 sec
Start 303: testcorenrn_vecplay::compare_results
289/347 Test #285: testcorenrn_kin::compare_results ......................................... Passed 0.14 sec
Start 323: testcorenrn_watch::compare_results
290/347 Test #303: testcorenrn_vecplay::compare_results ..................................... Passed 0.16 sec
Start 326: testcorenrn_netstimdirect::compare_results
291/347 Test #293: testcorenrn_patstim::compare_results ..................................... Passed 0.17 sec
292/347 Test #323: testcorenrn_watch::compare_results ....................................... Passed 0.15 sec
Start 69: parallel::partrans
293/347 Test #210: pyinit::nrniv_pydef_python_command_import_neuron ......................... Passed 0.67 sec
294/347 Test #211: pyinit::pydef_command_import_neuron ...................................... Passed 0.66 sec
Start 70: parallel::netpar
295/347 Test #326: testcorenrn_netstimdirect::compare_results ............................... Passed 0.07 sec
296/347 Test #70: parallel::netpar ......................................................... Passed 2.29 sec
Start 71: parallel::bas
297/347 Test #69: parallel::partrans ....................................................... Passed 2.36 sec
Start 72: parallel::nrntest_fast
298/347 Test #71: parallel::bas ............................................................ Passed 2.82 sec
Start 93: coreneuron_modtests::spikes_mpi_file_mode_py_cpu
299/347 Test #72: parallel::nrntest_fast ................................................... Passed 3.93 sec
Start 94: coreneuron_modtests::inputpresyn_py_cpu
300/347 Test #93: coreneuron_modtests::spikes_mpi_file_mode_py_cpu ......................... Passed 4.63 sec
Start 114: coreneuron_modtests::spikes_mpi_file_mode_py_gpu
301/347 Test #94: coreneuron_modtests::inputpresyn_py_cpu .................................. Passed 4.59 sec
Start 115: coreneuron_modtests::inputpresyn_py_gpu
302/347 Test #114: coreneuron_modtests::spikes_mpi_file_mode_py_gpu ......................... Passed 2.19 sec
303/347 Test #115: coreneuron_modtests::inputpresyn_py_gpu .................................. Passed 6.15 sec
Start 308: testcorenrn_vecevent::coreneuron_gpu_offline::preparation
304/347 Test #308: testcorenrn_vecevent::coreneuron_gpu_offline::preparation ................ Passed 1.70 sec
Start 312: testcorenrn_vecevent::coreneuron_cpu_offline::preparation
305/347 Test #312: testcorenrn_vecevent::coreneuron_cpu_offline::preparation ................ Passed 2.67 sec
Start 342: olfactory-bulb-3d::neuron::preparation
306/347 Test #342: olfactory-bulb-3d::neuron::preparation ................................... Passed 0.03 sec
Start 344: olfactory-bulb-3d::coreneuron_gpu_online::preparation
307/347 Test #344: olfactory-bulb-3d::coreneuron_gpu_online::preparation .................... Passed 0.01 sec
Start 346: olfactory-bulb-3d::coreneuron_cpu_online::preparation
308/347 Test #346: olfactory-bulb-3d::coreneuron_cpu_online::preparation .................... Passed 0.02 sec
Start 214: reduced_dentate::neuron
309/347 Test #329: channel_benchmark_hippo::neuron .......................................... Passed 116.29 sec
310/347 Test #330: channel_benchmark_hippo::coreneuron_gpu_online ........................... Passed 117.92 sec
311/347 Test #331: channel_benchmark_hippo::coreneuron_gpu_filemode ......................... Passed 117.71 sec
Start 215: reduced_dentate::coreneuron_cpu
312/347 Test #335: channel_benchmark_sscx::neuron ........................................... Passed 108.99 sec
313/347 Test #336: channel_benchmark_sscx::coreneuron_gpu_online ............................ Passed 106.68 sec
314/347 Test #332: channel_benchmark_hippo::coreneuron_cpu_online ........................... Passed 119.11 sec
315/347 Test #333: channel_benchmark_hippo::coreneuron_cpu_filemode ......................... Passed 116.51 sec
Start 216: reduced_dentate::coreneuron_gpu
316/347 Test #337: channel_benchmark_sscx::coreneuron_gpu_filemode .......................... Passed 107.84 sec
Start 334: channel_benchmark_hippo::compare_results
317/347 Test #334: channel_benchmark_hippo::compare_results ................................. Passed 0.06 sec
318/347 Test #214: reduced_dentate::neuron .................................................. Passed 27.69 sec
Start 304: testcorenrn_vecevent::neuron
319/347 Test #304: testcorenrn_vecevent::neuron ............................................. Passed 1.85 sec
Start 305: testcorenrn_vecevent::coreneuron_gpu_online
320/347 Test #305: testcorenrn_vecevent::coreneuron_gpu_online .............................. Passed 6.21 sec
Start 306: testcorenrn_vecevent::coreneuron_gpu_online_psolve_alternate
321/347 Test #215: reduced_dentate::coreneuron_cpu .......................................... Passed 33.56 sec
Start 307: testcorenrn_vecevent::coreneuron_gpu_offline
322/347 Test #216: reduced_dentate::coreneuron_gpu .......................................... Passed 31.16 sec
Start 309: testcorenrn_vecevent::coreneuron_cpu_online
Start 217: reduced_dentate::compare_results
323/347 Test #217: reduced_dentate::compare_results ......................................... Passed 0.06 sec
324/347 Test #307: testcorenrn_vecevent::coreneuron_gpu_offline ............................. Passed 6.42 sec
Start 310: testcorenrn_vecevent::coreneuron_cpu_online_psolve_alternate
325/347 Test #306: testcorenrn_vecevent::coreneuron_gpu_online_psolve_alternate ............. Passed 11.07 sec
Start 311: testcorenrn_vecevent::coreneuron_cpu_offline
326/347 Test #309: testcorenrn_vecevent::coreneuron_cpu_online .............................. Passed 6.13 sec
Start 341: olfactory-bulb-3d::neuron
327/347 Test #311: testcorenrn_vecevent::coreneuron_cpu_offline ............................. Passed 2.25 sec
Start 343: olfactory-bulb-3d::coreneuron_gpu_online
328/347 Test #310: testcorenrn_vecevent::coreneuron_cpu_online_psolve_alternate ............. Passed 3.54 sec
Start 345: olfactory-bulb-3d::coreneuron_cpu_online
Start 313: testcorenrn_vecevent::compare_results
329/347 Test #313: testcorenrn_vecevent::compare_results .................................... Passed 0.09 sec
330/347 Test #339: channel_benchmark_sscx::coreneuron_cpu_filemode .......................... Passed 109.87 sec
331/347 Test #338: channel_benchmark_sscx::coreneuron_cpu_online ............................ Passed 110.47 sec
Start 340: channel_benchmark_sscx::compare_results
332/347 Test #340: channel_benchmark_sscx::compare_results .................................. Passed 0.06 sec
333/347 Test #343: olfactory-bulb-3d::coreneuron_gpu_online ................................. Passed 31.96 sec
Start 226: external_ringtest::coreneuron_cpu_mpi_threads
334/347 Test #226: external_ringtest::coreneuron_cpu_mpi_threads ............................ Passed 2.51 sec
Start 227: external_ringtest::coreneuron_cpu_mpi_threads_python
335/347 Test #227: external_ringtest::coreneuron_cpu_mpi_threads_python ..................... Passed 2.51 sec
Start 233: external_ringtest::coreneuron_gpu_mpi_threads
336/347 Test #233: external_ringtest::coreneuron_gpu_mpi_threads ............................ Passed 3.95 sec
Start 234: external_ringtest::coreneuron_gpu_mpi_threads_python
337/347 Test #234: external_ringtest::coreneuron_gpu_mpi_threads_python ..................... Passed 3.93 sec
Start 68: parallel::subworld
Start 235: external_ringtest::compare_results
338/347 Test #235: external_ringtest::compare_results ....................................... Passed 0.25 sec
339/347 Test #345: olfactory-bulb-3d::coreneuron_cpu_online ................................. Passed 46.86 sec
340/347 Test #68: parallel::subworld ....................................................... Passed 4.34 sec
Start 95: coreneuron_modtests::test_subworlds_py_cpu
341/347 Test #95: coreneuron_modtests::test_subworlds_py_cpu ............................... Passed 6.11 sec
Start 116: coreneuron_modtests::test_subworlds_py_gpu
342/347 Test #341: olfactory-bulb-3d::neuron ................................................ Passed 56.17 sec
Start 347: olfactory-bulb-3d::compare_results
343/347 Test #347: olfactory-bulb-3d::compare_results ....................................... Passed 0.48 sec
344/347 Test #116: coreneuron_modtests::test_subworlds_py_gpu ............................... Passed 7.29 sec
345/347 Test #213: external_nrntest ......................................................... Passed 143.18 sec
Start 327: tqperf::coreneuron_special
346/347 Test #327: tqperf::coreneuron_special ............................................... Passed 11.14 sec
Start 328: tqperf::coreneuron_python
347/347 Test #328: tqperf::coreneuron_python ................................................ Passed 11.46 sec
100% tests passed, 0 tests failed out of 347
Total Test time (real) = 291.27 sec
$ cp -r Testing/ ${CI_PROJECT_DIR}/
$ module load unstable unit-test-translator
Autoloading python/3.10.8
$ cmake2junit > ${CI_PROJECT_DIR}/ctest.xml
$ exit ${i_am_a_failure}
section_end:1682532089:step_script section_start:1682532089:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=23732 revision=58ba2b95 version=14.2.0
initial_environment.env: found 1 matching files and directories
Testing/: found 7 matching files and directories 
Uploading artifacts as "archive" to coordinator... ok id=674229 responseStatus=201 Created token=64_NHUJF
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=23851 revision=58ba2b95 version=14.2.0
ctest.xml: found 1 matching files and directories 
Uploading artifacts as "junit" to coordinator... ok id=674229 responseStatus=201 Created token=64_NHUJF
section_end:1682532091:upload_artifacts_on_success section_start:1682532091:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1682532092:cleanup_file_variables Job succeeded
This file has been truncated, but you can view the full file.
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
sect
View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment