Skip to content

Instantly share code, notes, and snippets.

@bbpbuildbot
Created June 19, 2023 22:50
Show Gist options
  • Save bbpbuildbot/ab317b390c622f19238cde9513352c16 to your computer and use it in GitHub Desktop.
Save bbpbuildbot/ab317b390c622f19238cde9513352c16 to your computer and use it in GitHub Desktop.
Logfiles for GitLab pipeline https://bbpgitlab.epfl.ch/hpc/cellular/nrn/-/pipelines/132939 (:white_check_mark:) running on GitHub PR neuronsimulator/nrn#2391.
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1687211367:resolve_secrets Resolving secrets
section_end:1687211367:resolve_secrets section_start:1687211367:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor1905405685, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 132939, build ref 03254ba273a47daac37c65288eae33082697a88d, job ID 771759
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J771759_PROD_P2160_CP0_C0
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1577077
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J771759_PROD_P2160_CP0_C0 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --ntasks=2 --jobid=1577077 --cpus-per-task=8 --mem=76G
section_end:1687211369:prepare_executor section_start:1687211369:prepare_script Preparing environment
Using git from spack modules
Running on r1i6n26 via bbpv1.epfl.ch...
section_end:1687211372:prepare_script section_start:1687211372:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1687211373:get_sources section_start:1687211373:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:intel:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=116077 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1687211379:restore_cache section_start:1687211379:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (771758)...
Runtime platform  arch=amd64 os=linux pid=116940 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=771758 responseStatus=200 OK token=64_PLwj_
section_end:1687211380:download_artifacts section_start:1687211380:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771759/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771759/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771759/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771759/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771759/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771759/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939/J771759_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%oneapi ~rx3d~caliper~gpu+coreneuron~legacy-unit~openmp~shared~sympy+tests build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^/56d5ty5vsaueysdkxgptaa5f2vvjqjwx
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577077/ccache
Primary config: /nvme/bbpcihpcproj12/1577077/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Mon Jun 19 23:49:44 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (90.40 %)
Files: 14534
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%oneapi~caliper+coreneuron~gpu~legacy-unit~openmp~rx3d~shared~sympy+tests build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[+] ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- c2nv6fh neuron@develop%oneapi@2022.2.1~caliper~codegenopt+coreneuron~gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi~openmp~prcellstate+python+report~rx3d~shared~sympy~sympyopt+tests build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- dvav7kc ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] 56d5ty5 ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[+] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
==> Installing libsonata-report-develop-dvav7kcoa4bw2beztpa4apwx6uizgkcn
==> No binary for libsonata-report-develop-dvav7kcoa4bw2beztpa4apwx6uizgkcn found: installing from source
==> No patches needed for libsonata-report
==> libsonata-report: Executing phase: 'cmake'
==> libsonata-report: Executing phase: 'build'
==> libsonata-report: Executing phase: 'install'
==> libsonata-report: Successfully installed libsonata-report-develop-dvav7kcoa4bw2beztpa4apwx6uizgkcn
Fetch: 2.38s. Build: 5.42s. Total: 7.81s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/libsonata-report-develop-dvav7k
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/nmodl-develop-56d5ty
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
==> Installing neuron-develop-c2nv6fh7yr7h7yxqg476tohq2ixferyf
==> No binary for neuron-develop-c2nv6fh7yr7h7yxqg476tohq2ixferyf found: installing from source
==> No patches needed for neuron
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-c2nv6fh7yr7h7yxqg476tohq2ixferyf
Fetch: 17.82s. Build: 27m 26.84s. Total: 27m 44.66s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_oneapi-2022.2.1-skylake/neuron-develop-c2nv6f
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be c2nv6fh7yr7h7yxqg476tohq2ixferyf
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577077/ccache
Primary config: /nvme/bbpcihpcproj12/1577077/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jun 20 00:19:51 2023
Hits: 871 / 971 (89.70 %)
Direct: 358 / 980 (36.53 %)
Preprocessed: 513 / 616 (83.28 %)
Misses: 100
Direct: 622
Preprocessed: 103
Uncacheable: 1036
Primary storage:
Hits: 1560 / 1954 (79.84 %)
Misses: 394
Cache size (GB): 0.46 / 0.51 (90.37 %)
Files: 14703
Cleanups: 1
Uncacheable:
Autoconf compile/link: 7
Called for linking: 1001
Called for preprocessing: 1
Compilation failed: 3
No input file: 18
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1687213195:step_script section_start:1687213195:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:intel:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=159039 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Aintel%3Alegacy-1-non_protected
Created cache
section_end:1687213215:archive_cache section_start:1687213215:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=159735 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=771759 responseStatus=201 Created token=64_PLwj_
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=159773 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=771759 responseStatus=201 Created token=64_PLwj_
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=159816 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=771759 responseStatus=201 Created token=64_PLwj_
section_end:1687213216:upload_artifacts_on_success section_start:1687213216:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1687213217:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1687211368:resolve_secrets Resolving secrets
section_end:1687211368:resolve_secrets section_start:1687211368:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor1248111, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 132939, build ref 03254ba273a47daac37c65288eae33082697a88d, job ID 771760
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J771760_PROD_P2160_CP1_C2
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1577078
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J771760_PROD_P2160_CP1_C2 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --ntasks=2 --jobid=1577078 --cpus-per-task=8 --mem=76G
section_end:1687211369:prepare_executor section_start:1687211369:prepare_script Preparing environment
Using git from spack modules
Running on r1i6n26 via bbpv1.epfl.ch...
section_end:1687211375:prepare_script section_start:1687211375:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1687211376:get_sources section_start:1687211376:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:intel:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=116756 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1687211382:restore_cache section_start:1687211382:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (771758)...
Runtime platform  arch=amd64 os=linux pid=118365 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=771758 responseStatus=200 OK token=64_onzBR
section_end:1687211383:download_artifacts section_start:1687211383:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771760/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771760/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771760/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771760/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771760/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771760/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939/J771760_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%oneapi ~rx3d~caliper~gpu+coreneuron~legacy-unit~openmp+shared+sympy+tests build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^/56d5ty5vsaueysdkxgptaa5f2vvjqjwx
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577078/ccache
Primary config: /nvme/bbpcihpcproj12/1577078/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Mon Jun 19 23:49:47 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.45 / 0.51 (88.62 %)
Files: 14032
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%oneapi~caliper+coreneuron~gpu~legacy-unit~openmp~rx3d+shared+sympy+tests build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[+] ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- 7okfdql neuron@develop%oneapi@2022.2.1~caliper~codegenopt+coreneuron~gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi~openmp~prcellstate+python+report~rx3d+shared+sympy~sympyopt+tests build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- dvav7kc ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] 56d5ty5 ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[+] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/libsonata-report-develop-dvav7k
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/nmodl-develop-56d5ty
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
==> Installing neuron-develop-7okfdqljbts5dwu7sblkzlizlhqakj6n
==> No binary for neuron-develop-7okfdqljbts5dwu7sblkzlizlhqakj6n found: installing from source
==> No patches needed for neuron
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-7okfdqljbts5dwu7sblkzlizlhqakj6n
Fetch: 19.18s. Build: 28m 54.93s. Total: 29m 14.11s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_oneapi-2022.2.1-skylake/neuron-develop-7okfdq
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be 7okfdqljbts5dwu7sblkzlizlhqakj6n
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577078/ccache
Primary config: /nvme/bbpcihpcproj12/1577078/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jun 20 00:21:16 2023
Hits: 849 / 949 (89.46 %)
Direct: 336 / 958 (35.07 %)
Preprocessed: 513 / 616 (83.28 %)
Misses: 100
Direct: 622
Preprocessed: 103
Uncacheable: 1031
Primary storage:
Hits: 1516 / 1910 (79.37 %)
Misses: 394
Cache size (GB): 0.45 / 0.51 (88.60 %)
Files: 14231
Cleanups: 1
Uncacheable:
Autoconf compile/link: 7
Called for linking: 1006
Called for preprocessing: 1
Compilation failed: 3
No input file: 8
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1687213279:step_script section_start:1687213279:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:intel:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=162438 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Aintel%3Ashared-1-non_protected
Created cache
section_end:1687213299:archive_cache section_start:1687213299:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=162626 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=771760 responseStatus=201 Created token=64_onzBR
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=162669 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=771760 responseStatus=201 Created token=64_onzBR
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=162712 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=771760 responseStatus=201 Created token=64_onzBR
section_end:1687213301:upload_artifacts_on_success section_start:1687213301:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1687213302:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1687211368:resolve_secrets Resolving secrets
section_end:1687211368:resolve_secrets section_start:1687211368:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor1147151711, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 132939, build ref 03254ba273a47daac37c65288eae33082697a88d, job ID 771761
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J771761_PROD_P2160_CP2_C3
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1577079
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J771761_PROD_P2160_CP2_C3 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --ntasks=2 --jobid=1577079 --cpus-per-task=8 --mem=76G
section_end:1687211369:prepare_executor section_start:1687211369:prepare_script Preparing environment
Using git from spack modules
Running on r1i6n26 via bbpv1.epfl.ch...
section_end:1687211375:prepare_script section_start:1687211375:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1687211376:get_sources section_start:1687211376:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:nvhpc:acc:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=116827 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1687211382:restore_cache section_start:1687211382:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (771758)...
Runtime platform  arch=amd64 os=linux pid=118098 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=771758 responseStatus=200 OK token=64_dB_-s
section_end:1687211383:download_artifacts section_start:1687211383:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771761/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771761/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771761/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771761/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771761/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771761/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939/J771761_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d~caliper+gpu+coreneuron~legacy-unit~openmp~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^/56d5ty5vsaueysdkxgptaa5f2vvjqjwx
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577079/ccache
Primary config: /nvme/bbpcihpcproj12/1577079/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Mon Jun 19 23:49:47 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.45 / 0.51 (87.38 %)
Files: 16170
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc~caliper+coreneuron+gpu~legacy-unit~openmp~rx3d~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[+] ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- 6pv6c6k neuron@develop%nvhpc@23.1~caliper~codegenopt+coreneuron+gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi~openmp~prcellstate+python+report~rx3d~shared~sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- dvav7kc ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] 56d5ty5 ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[+] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/nmodl-develop-56d5ty
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/libsonata-report-develop-dvav7k
==> Installing neuron-develop-6pv6c6ka6qz2kt47zjtiyrvvu33dl72j
==> No binary for neuron-develop-6pv6c6ka6qz2kt47zjtiyrvvu33dl72j found: installing from source
==> No patches needed for neuron
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-6pv6c6ka6qz2kt47zjtiyrvvu33dl72j
Fetch: 22.18s. Build: 47m 42.05s. Total: 48m 4.23s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_nvhpc-23.1-skylake/neuron-develop-6pv6c6
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be 6pv6c6ka6qz2kt47zjtiyrvvu33dl72j
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577079/ccache
Primary config: /nvme/bbpcihpcproj12/1577079/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jun 20 00:39:57 2023
Hits: 849 / 986 (86.11 %)
Direct: 336 / 957 (35.11 %)
Preprocessed: 513 / 615 (83.41 %)
Misses: 137
Direct: 621
Preprocessed: 102
Uncacheable: 142
Primary storage:
Hits: 1516 / 1908 (79.45 %)
Misses: 392
Cache size (GB): 0.45 / 0.51 (88.64 %)
Files: 16589
Uncacheable:
Autoconf compile/link: 7
Called for linking: 116
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1687214398:step_script section_start:1687214398:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:nvhpc:acc:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=208485 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aacc%3Alegacy-1-non_protected
Created cache
section_end:1687214416:archive_cache section_start:1687214416:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=209238 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=771761 responseStatus=201 Created token=64_dB_-s
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=209279 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=771761 responseStatus=201 Created token=64_dB_-s
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=209324 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=771761 responseStatus=201 Created token=64_dB_-s
section_end:1687214418:upload_artifacts_on_success section_start:1687214418:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1687214418:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1687211368:resolve_secrets Resolving secrets
section_end:1687211368:resolve_secrets section_start:1687211368:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor1661703036, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 132939, build ref 03254ba273a47daac37c65288eae33082697a88d, job ID 771762
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J771762_PROD_P2160_CP3_C4
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1577080
job state: PD
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J771762_PROD_P2160_CP3_C4 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --ntasks=2 --jobid=1577080 --cpus-per-task=8 --mem=76G
section_end:1687211372:prepare_executor section_start:1687211372:prepare_script Preparing environment
Using git from spack modules
Running on r1i6n26 via bbpv1.epfl.ch...
section_end:1687211373:prepare_script section_start:1687211373:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1687211374:get_sources section_start:1687211374:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:nvhpc:acc:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=116376 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1687211380:restore_cache section_start:1687211380:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (771758)...
Runtime platform  arch=amd64 os=linux pid=117043 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=771758 responseStatus=200 OK token=64_3f6Eo
section_end:1687211380:download_artifacts section_start:1687211380:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771762/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771762/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771762/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771762/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771762/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771762/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939/J771762_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d~caliper+gpu+coreneuron~legacy-unit~openmp+shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^/56d5ty5vsaueysdkxgptaa5f2vvjqjwx
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577080/ccache
Primary config: /nvme/bbpcihpcproj12/1577080/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Mon Jun 19 23:49:45 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (89.22 %)
Files: 17979
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc~caliper+coreneuron+gpu~legacy-unit~openmp~rx3d+shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[+] ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- owgd33q neuron@develop%nvhpc@23.1~caliper~codegenopt+coreneuron+gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi~openmp~prcellstate+python+report~rx3d+shared+sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- dvav7kc ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] 56d5ty5 ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[+] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/nmodl-develop-56d5ty
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/libsonata-report-develop-dvav7k
==> Installing neuron-develop-owgd33q3dpgkxxxdrladpsxbphtoh6dn
==> No binary for neuron-develop-owgd33q3dpgkxxxdrladpsxbphtoh6dn found: installing from source
==> No patches needed for neuron
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-owgd33q3dpgkxxxdrladpsxbphtoh6dn
Fetch: 19.02s. Build: 47m 52.24s. Total: 48m 11.26s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_nvhpc-23.1-skylake/neuron-develop-owgd33
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be owgd33q3dpgkxxxdrladpsxbphtoh6dn
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577080/ccache
Primary config: /nvme/bbpcihpcproj12/1577080/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jun 20 00:40:01 2023
Hits: 849 / 1050 (80.86 %)
Direct: 337 / 957 (35.21 %)
Preprocessed: 512 / 614 (83.39 %)
Misses: 201
Direct: 620
Preprocessed: 102
Uncacheable: 151
Primary storage:
Hits: 1517 / 1908 (79.51 %)
Misses: 391
Cache size (GB): 0.46 / 0.51 (89.26 %)
Files: 18185
Cleanups: 1
Uncacheable:
Autoconf compile/link: 7
Called for linking: 125
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1687214402:step_script section_start:1687214402:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:nvhpc:acc:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=209100 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aacc%3Ashared-1-non_protected
Created cache
section_end:1687214421:archive_cache section_start:1687214421:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=209670 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=771762 responseStatus=201 Created token=64_3f6Eo
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=209713 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=771762 responseStatus=201 Created token=64_3f6Eo
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=209753 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=771762 responseStatus=201 Created token=64_3f6Eo
section_end:1687214423:upload_artifacts_on_success section_start:1687214423:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1687214423:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1687211369:resolve_secrets Resolving secrets
section_end:1687211369:resolve_secrets section_start:1687211369:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor100135054, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 132939, build ref 03254ba273a47daac37c65288eae33082697a88d, job ID 771763
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J771763_PROD_P2160_CP4_C5
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1577081
job state: PD
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J771763_PROD_P2160_CP4_C5 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --ntasks=2 --jobid=1577081 --cpus-per-task=8 --mem=76G
section_end:1687211372:prepare_executor section_start:1687211372:prepare_script Preparing environment
Using git from spack modules
Running on r1i6n14 via bbpv1.epfl.ch...
section_end:1687211375:prepare_script section_start:1687211375:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1687211376:get_sources section_start:1687211376:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:nvhpc:omp:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=227794 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1687211382:restore_cache section_start:1687211382:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (771758)...
Runtime platform  arch=amd64 os=linux pid=227932 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=771758 responseStatus=200 OK token=64_Hdbn4
section_end:1687211383:download_artifacts section_start:1687211383:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771763/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771763/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771763/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771763/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771763/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771763/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939/J771763_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d+caliper+gpu+coreneuron~legacy-unit+openmp~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^caliper+cuda cuda_arch=70 ^/56d5ty5vsaueysdkxgptaa5f2vvjqjwx
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577081/ccache
Primary config: /nvme/bbpcihpcproj12/1577081/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Mon Jun 19 23:49:47 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (90.54 %)
Files: 16517
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc+caliper+coreneuron+gpu~legacy-unit+openmp~rx3d~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
- ^caliper+cuda cuda_arch=70
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[+] ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- d55eksb neuron@develop%nvhpc@23.1+caliper~codegenopt+coreneuron+gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi+openmp~prcellstate+python+report~rx3d~shared~sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] c3723hn ^caliper@2.8.0%gcc@12.2.0+adiak+cuda~fortran+gotcha~ipo+libdw~libpfm+libunwind+mpi+papi~rocm+sampler+shared~sosflow build_system=cmake build_type=RelWithDebInfo cuda_arch=70 arch=linux-rhel7-skylake
[^] pcfmpqe ^adiak@0.2.2%gcc@12.2.0~ipo+mpi+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 7yt5klx ^elfutils@0.188%gcc@12.2.0~bzip2~debuginfod+nls~xz~zstd build_system=autotools arch=linux-rhel7-skylake
[^] zttduab ^m4@1.4.16%gcc@12.2.0+sigsegv build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] ja6blio ^libunwind@1.6.2%gcc@12.2.0~block_signals~conservative_checks~cxx_exceptions~debug~debug_frame+docs~pic+tests+weak_backtrace~xz~zlib build_system=autotools components=none libs=shared,static arch=linux-rhel7-skylake
[^] 3gsobub ^papi@6.0.0.1%gcc@12.2.0~cuda+example~infiniband~lmsensors~nvml~powercap~rapl~rocm~rocm_smi~sde+shared~static_tools build_system=autotools arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- dvav7kc ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] 56d5ty5 ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[+] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
[+] /usr (external m4-1.4.16-zttduab7wfircnz7epbqj5ghrnfugdox)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libunwind-1.6.2-ja6bli
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/papi-6.0.0.1-3gsobu
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/adiak-0.2.2-pcfmpq
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/libsonata-report-develop-dvav7k
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/elfutils-0.188-7yt5kl
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/caliper-2.8.0-c3723h
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/nmodl-develop-56d5ty
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
==> Installing neuron-develop-d55eksbwxcn63bvd2525ljmrpcmkmise
==> No binary for neuron-develop-d55eksbwxcn63bvd2525ljmrpcmkmise found: installing from source
==> No patches needed for neuron
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-d55eksbwxcn63bvd2525ljmrpcmkmise
Fetch: 18.43s. Build: 30m 28.24s. Total: 30m 46.68s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_nvhpc-23.1-skylake/neuron-develop-d55eks
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be d55eksbwxcn63bvd2525ljmrpcmkmise
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577081/ccache
Primary config: /nvme/bbpcihpcproj12/1577081/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jun 20 00:22:36 2023
Hits: 849 / 996 (85.24 %)
Direct: 336 / 959 (35.04 %)
Preprocessed: 513 / 617 (83.14 %)
Misses: 147
Direct: 623
Preprocessed: 104
Uncacheable: 144
Primary storage:
Hits: 1516 / 1912 (79.29 %)
Misses: 396
Cache size (GB): 0.46 / 0.51 (90.55 %)
Files: 16655
Cleanups: 1
Uncacheable:
Autoconf compile/link: 7
Called for linking: 118
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1687213358:step_script section_start:1687213358:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:nvhpc:omp:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=261962 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aomp%3Alegacy-1-non_protected
Created cache
section_end:1687213376:archive_cache section_start:1687213376:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=262132 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=771763 responseStatus=201 Created token=64_Hdbn4
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=262271 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=771763 responseStatus=201 Created token=64_Hdbn4
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=262311 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=771763 responseStatus=201 Created token=64_Hdbn4
section_end:1687213378:upload_artifacts_on_success section_start:1687213378:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1687213379:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1687211370:resolve_secrets Resolving secrets
section_end:1687211370:resolve_secrets section_start:1687211370:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor4108141611, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 132939, build ref 03254ba273a47daac37c65288eae33082697a88d, job ID 771764
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J771764_PROD_P2160_CP5_C6
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1577082
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J771764_PROD_P2160_CP5_C6 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --ntasks=2 --jobid=1577082 --cpus-per-task=8 --mem=76G
section_end:1687211372:prepare_executor section_start:1687211372:prepare_script Preparing environment
Using git from spack modules
Running on r1i6n14 via bbpv1.epfl.ch...
section_end:1687211375:prepare_script section_start:1687211375:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1687211376:get_sources section_start:1687211376:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:nvhpc:omp-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=227757 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1687211382:restore_cache section_start:1687211382:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (771758)...
Runtime platform  arch=amd64 os=linux pid=227999 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=771758 responseStatus=200 OK token=64_Fzziy
section_end:1687211383:download_artifacts section_start:1687211383:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771764/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771764/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771764/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771764/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771764/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771764/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939/J771764_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d+caliper+gpu+coreneuron~legacy-unit+openmp~shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^caliper+cuda cuda_arch=70 ^/56d5ty5vsaueysdkxgptaa5f2vvjqjwx
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577082/ccache
Primary config: /nvme/bbpcihpcproj12/1577082/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Mon Jun 19 23:49:47 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.47 / 0.51 (91.43 %)
Files: 16704
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc+caliper+coreneuron+gpu~legacy-unit+openmp~rx3d~shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
- ^caliper+cuda cuda_arch=70
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[+] ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- fj4t76o neuron@develop%nvhpc@23.1+caliper~codegenopt+coreneuron+gpu~interviews~ipo~knl+legacy-fr~legacy-unit+memacs+mod-compatibility+mpi+openmp~prcellstate+python+report~rx3d~shared+sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3gsnkau ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex~serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] c3723hn ^caliper@2.8.0%gcc@12.2.0+adiak+cuda~fortran+gotcha~ipo+libdw~libpfm+libunwind+mpi+papi~rocm+sampler+shared~sosflow build_system=cmake build_type=RelWithDebInfo cuda_arch=70 arch=linux-rhel7-skylake
[^] pcfmpqe ^adiak@0.2.2%gcc@12.2.0~ipo+mpi+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 7yt5klx ^elfutils@0.188%gcc@12.2.0~bzip2~debuginfod+nls~xz~zstd build_system=autotools arch=linux-rhel7-skylake
[^] zttduab ^m4@1.4.16%gcc@12.2.0+sigsegv build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] ja6blio ^libunwind@1.6.2%gcc@12.2.0~block_signals~conservative_checks~cxx_exceptions~debug~debug_frame+docs~pic+tests+weak_backtrace~xz~zlib build_system=autotools components=none libs=shared,static arch=linux-rhel7-skylake
[^] 3gsobub ^papi@6.0.0.1%gcc@12.2.0~cuda+example~infiniband~lmsensors~nvml~powercap~rapl~rocm~rocm_smi~sde+shared~static_tools build_system=autotools arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 7pokw4h ^hpe-mpi@2.25.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- dvav7kc ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] einzmbz ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] 56d5ty5 ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[+] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] hexz5ps ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tm6pmld ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939, but it is owned by 0
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-3bzblf (external boost-1.80.0-3gsnkau2ceycwyu2nn7tdokqdxy6c3gx)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> hpe-mpi@2.25.hmpt : has external module in ['hpe-mpi/2.25.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.25.hmpt-mgws7w (external hpe-mpi-2.25.hmpt-7pokw4hzcta7cdqxtydumij6gt5w4ilc)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
[+] /usr (external m4-1.4.16-zttduab7wfircnz7epbqj5ghrnfugdox)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libunwind-1.6.2-ja6bli
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/papi-6.0.0.1-3gsobu
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/adiak-0.2.2-pcfmpq
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-einzmb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/elfutils-0.188-7yt5kl
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-hexz5p
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/libsonata-report-develop-dvav7k
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/caliper-2.8.0-c3723h
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/nmodl-develop-56d5ty
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-tm6pml
==> Installing neuron-develop-fj4t76oi4ss5xhqsovidn4qmxlgvrght
==> No binary for neuron-develop-fj4t76oi4ss5xhqsovidn4qmxlgvrght found: installing from source
==> No patches needed for neuron
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-fj4t76oi4ss5xhqsovidn4qmxlgvrght
Fetch: 16.81s. Build: 30m 29.93s. Total: 30m 46.74s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_nvhpc-23.1-skylake/neuron-develop-fj4t76
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be fj4t76oi4ss5xhqsovidn4qmxlgvrght
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577082/ccache
Primary config: /nvme/bbpcihpcproj12/1577082/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jun 20 00:22:36 2023
Hits: 849 / 996 (85.24 %)
Direct: 336 / 959 (35.04 %)
Preprocessed: 513 / 617 (83.14 %)
Misses: 147
Direct: 623
Preprocessed: 104
Uncacheable: 144
Primary storage:
Hits: 1516 / 1912 (79.29 %)
Misses: 396
Cache size (GB): 0.47 / 0.51 (92.72 %)
Files: 17140
Uncacheable:
Autoconf compile/link: 7
Called for linking: 118
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1687213357:step_script section_start:1687213357:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:nvhpc:omp-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=261922 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aomp-1-non_protected
Created cache
section_end:1687213377:archive_cache section_start:1687213377:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=262190 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=771764 responseStatus=201 Created token=64_Fzziy
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=262226 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=771764 responseStatus=201 Created token=64_Fzziy
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=262353 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=771764 responseStatus=201 Created token=64_Fzziy
section_end:1687213378:upload_artifacts_on_success section_start:1687213378:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1687213379:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1687211085:resolve_secrets Resolving secrets
section_end:1687211085:resolve_secrets section_start:1687211085:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor2028409103, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 132939, build ref 03254ba273a47daac37c65288eae33082697a88d, job ID 771758
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J771758_PROD_P2160_CP0_C0
Job parameters: memory=76G, cpus_per_task=8, duration=1:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1577074
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J771758_PROD_P2160_CP0_C0 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --ntasks=2 --jobid=1577074 --cpus-per-task=8 --mem=76G
section_end:1687211086:prepare_executor section_start:1687211086:prepare_script Preparing environment
Using git from spack modules
Running on r1i6n26 via bbpv1.epfl.ch...
section_end:1687211088:prepare_script section_start:1687211088:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1687211089:get_sources section_start:1687211089:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:nmodl-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=111805 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1687211094:restore_cache section_start:1687211094:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for spack_setup (771756)...
Runtime platform  arch=amd64 os=linux pid=111907 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=771756 responseStatus=200 OK token=64_WTS_s
section_end:1687211095:download_artifacts section_start:1687211095:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771758/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771758/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771758/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771758/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771758/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 300
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771758/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/J771756/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939/J771758_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install nmodl%gcc ~legacy-unit
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577074/ccache
Primary config: /nvme/bbpcihpcproj12/1577074/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Mon Jun 19 23:44:59 2023
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.45 / 0.51 (88.52 %)
Files: 1479
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- nmodl%gcc~legacy-unit
Concretized
--------------------------------
- 56d5ty5 nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
- 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] gv336wl ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] d7dkmvv ^py-flit-core@3.7.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4kxd5n3 ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jrwy3zz ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] zbqiukk ^py-setuptools-scm@7.0.5%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] ihcuyox ^py-typing-extensions@4.3.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] d5vf2au ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 7rza5j7 ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
==> Installing catch2-3.0.1-7v6k26xsg7vuip4riuwzc7k4efieigu2
==> No binary for catch2-3.0.1-7v6k26xsg7vuip4riuwzc7k4efieigu2 found: installing from source
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939, but it is owned by 0
==> Fetching https://mirror.spack.io/_source-cache/archive/8c/8c4173c68ae7da1b5b505194a0c2d6f1b2aef4ec1e3e7463bde451f26bbaf4e7.tar.gz
==> No patches needed for catch2
==> catch2: Executing phase: 'cmake'
==> catch2: Executing phase: 'build'
==> catch2: Executing phase: 'install'
==> catch2: Successfully installed catch2-3.0.1-7v6k26xsg7vuip4riuwzc7k4efieigu2
Fetch: 0.18s. Build: 3.48s. Total: 3.66s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-flit-core-3.7.1-d7dkmv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-typing-extensions-4.3.0-ihcuyo
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-scm-7.0.5-zbqiuk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-4kxd5n
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-7rza5j
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-jrwy3z
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-d5vf2a
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-gv336w
==> Installing nmodl-develop-56d5ty5vsaueysdkxgptaa5f2vvjqjwx
==> No binary for nmodl-develop-56d5ty5vsaueysdkxgptaa5f2vvjqjwx found: installing from source
==> No patches needed for nmodl
==> nmodl: Executing phase: 'cmake'
==> nmodl: Executing phase: 'build'
==> nmodl: Executing phase: 'install'
==> nmodl: Successfully installed nmodl-develop-56d5ty5vsaueysdkxgptaa5f2vvjqjwx
Fetch: 44.26s. Build: 1m 57.59s. Total: 2m 41.85s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P132939/software/install_gcc-12.2.0-skylake/nmodl-develop-56d5ty
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be 56d5ty5vsaueysdkxgptaa5f2vvjqjwx
$ SPACK_STAGE_DIR=${SPACK_BUILD}/spack-stage-${SPACK_PACKAGE}-develop-${SPACK_INSTALLED_HASH}
$ SPACK_BUILD_DIR=${SPACK_STAGE_DIR}/spack-build-${SPACK_INSTALLED_HASH:0:7}
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1577074/ccache
Primary config: /nvme/bbpcihpcproj12/1577074/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Mon Jun 19 23:49:01 2023
Hits: 206 / 208 (99.04 %)
Direct: 104 / 208 (50.00 %)
Preprocessed: 102 / 104 (98.08 %)
Misses: 2
Direct: 104
Preprocessed: 2
Uncacheable: 27
Primary storage:
Hits: 310 / 416 (74.52 %)
Misses: 106
Cache size (GB): 0.45 / 0.51 (88.54 %)
Files: 1483
Uncacheable:
Called for linking: 25
No input file: 2
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.25.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1687211342:step_script section_start:1687211342:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:nmodl-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=114505 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Anmodl-1-non_protected
Created cache
section_end:1687211361:archive_cache section_start:1687211361:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=114622 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=771758 responseStatus=201 Created token=64_WTS_s
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=114661 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=771758 responseStatus=201 Created token=64_WTS_s
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=114701 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=771758 responseStatus=201 Created token=64_WTS_s
section_end:1687211363:upload_artifacts_on_success section_start:1687211363:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1687211364:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.4.0 (43b2dc3d)
 on macos-arm64 vGzz-bdp
section_start:1687210986:resolve_secrets Resolving secrets
section_end:1687210986:resolve_secrets section_start:1687210986:prepare_executor Preparing the "shell" executor
Using Shell executor...
section_end:1687210986:prepare_executor section_start:1687210986:prepare_script Preparing environment
Running on bbpmacglr01.bbp.epfl.ch...
section_end:1687210986:prepare_script section_start:1687210986:get_sources Getting source from Git repository
Fetching changes with git depth set to 20...
Initialized empty Git repository in /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/.git/
Created fresh repository.
Checking out 03254ba2 as cornu/gnu...
Skipping Git submodules setup
section_end:1687210988:get_sources section_start:1687210988:restore_cache Restoring cache
Checking cache for mac_m1_cmake_build: [cmake, ON, OFF, OFF, address]-cornu/gnu-1-non_protected...
Runtime platform  arch=arm64 os=darwin pid=58517 revision=43b2dc3d version=15.4.0
No URL provided, cache will not be downloaded from shared cache server. Instead a local version of cache will be extracted.
Successfully extracted cache
section_end:1687210992:restore_cache section_start:1687210992:step_script Executing "step_script" stage of the job script
$ cat > resolve_shim.py << END_SCRIPT # collapsed multi-line command
$ real_python=$(python3 resolve_shim.py)
$ echo "python3=$(command -v python3) is really ${real_python}"
python3=/opt/homebrew/bin/python3 is really /opt/homebrew/Cellar/python@3.10/3.10.8/Frameworks/Python.framework/Versions/3.10/Resources/Python.app/Contents/MacOS/Python
$ PYTHONEXECUTABLE=${real_python} ${real_python} -mvenv venv
$ venv/bin/pip install --upgrade pip -r nrn_requirements.txt
Requirement already satisfied: pip in ./venv/lib/python3.10/site-packages (22.2.2)
Collecting pip
Using cached pip-23.1.2-py3-none-any.whl (2.1 MB)
Collecting wheel
Using cached wheel-0.40.0-py3-none-any.whl (64 kB)
Requirement already satisfied: setuptools in ./venv/lib/python3.10/site-packages (from -r nrn_requirements.txt (line 2)) (65.4.1)
Collecting setuptools
Using cached setuptools-68.0.0-py3-none-any.whl (804 kB)
Collecting setuptools_scm
Using cached setuptools_scm-7.1.0-py3-none-any.whl (43 kB)
Collecting scikit-build
Using cached scikit_build-0.17.6-py3-none-any.whl (84 kB)
Collecting matplotlib
Using cached matplotlib-3.7.1-cp310-cp310-macosx_11_0_arm64.whl (7.3 MB)
Collecting bokeh<3
Using cached bokeh-2.4.3-py3-none-any.whl (18.5 MB)
Collecting ipython
Using cached ipython-8.14.0-py3-none-any.whl (798 kB)
Collecting cython
Using cached Cython-0.29.35-py2.py3-none-any.whl (988 kB)
Collecting packaging
Using cached packaging-23.1-py3-none-any.whl (48 kB)
Collecting pytest
Using cached pytest-7.3.2-py3-none-any.whl (320 kB)
Collecting pytest-cov
Using cached pytest_cov-4.1.0-py3-none-any.whl (21 kB)
Collecting mpi4py
Using cached mpi4py-3.1.4-cp310-cp310-macosx_12_0_arm64.whl
Collecting numpy
Using cached numpy-1.25.0-cp310-cp310-macosx_11_0_arm64.whl (14.0 MB)
Collecting find_libpython
Using cached find_libpython-0.3.0-py3-none-any.whl (8.5 kB)
Collecting typing-extensions
Using cached typing_extensions-4.6.3-py3-none-any.whl (31 kB)
Collecting tomli>=1.0.0
Using cached tomli-2.0.1-py3-none-any.whl (12 kB)
Collecting distro
Using cached distro-1.8.0-py3-none-any.whl (20 kB)
Collecting pillow>=6.2.0
Using cached Pillow-9.5.0-cp310-cp310-macosx_11_0_arm64.whl (3.1 MB)
Collecting pyparsing>=2.3.1
Using cached pyparsing-3.1.0-py3-none-any.whl (102 kB)
Collecting kiwisolver>=1.0.1
Using cached kiwisolver-1.4.4-cp310-cp310-macosx_11_0_arm64.whl (63 kB)
Collecting fonttools>=4.22.0
Using cached fonttools-4.40.0-cp310-cp310-macosx_10_9_universal2.whl (2.6 MB)
Collecting cycler>=0.10
Using cached cycler-0.11.0-py3-none-any.whl (6.4 kB)
Collecting contourpy>=1.0.1
Using cached contourpy-1.1.0-cp310-cp310-macosx_11_0_arm64.whl (229 kB)
Collecting python-dateutil>=2.7
Using cached python_dateutil-2.8.2-py2.py3-none-any.whl (247 kB)
Collecting Jinja2>=2.9
Using cached Jinja2-3.1.2-py3-none-any.whl (133 kB)
Collecting PyYAML>=3.10
Using cached PyYAML-6.0-cp310-cp310-macosx_11_0_arm64.whl (173 kB)
Collecting tornado>=5.1
Using cached tornado-6.3.2-cp38-abi3-macosx_10_9_universal2.whl (424 kB)
Collecting traitlets>=5
Using cached traitlets-5.9.0-py3-none-any.whl (117 kB)
Collecting appnope
Using cached appnope-0.1.3-py2.py3-none-any.whl (4.4 kB)
Collecting backcall
Using cached backcall-0.2.0-py2.py3-none-any.whl (11 kB)
Collecting prompt-toolkit!=3.0.37,<3.1.0,>=3.0.30
Using cached prompt_toolkit-3.0.38-py3-none-any.whl (385 kB)
Collecting jedi>=0.16
Using cached jedi-0.18.2-py2.py3-none-any.whl (1.6 MB)
Collecting decorator
Using cached decorator-5.1.1-py3-none-any.whl (9.1 kB)
Collecting pexpect>4.3
Using cached pexpect-4.8.0-py2.py3-none-any.whl (59 kB)
Collecting pickleshare
Using cached pickleshare-0.7.5-py2.py3-none-any.whl (6.9 kB)
Collecting matplotlib-inline
Using cached matplotlib_inline-0.1.6-py3-none-any.whl (9.4 kB)
Collecting pygments>=2.4.0
Using cached Pygments-2.15.1-py3-none-any.whl (1.1 MB)
Collecting stack-data
Using cached stack_data-0.6.2-py3-none-any.whl (24 kB)
Collecting iniconfig
Using cached iniconfig-2.0.0-py3-none-any.whl (5.9 kB)
Collecting pluggy<2.0,>=0.12
Using cached pluggy-1.0.0-py2.py3-none-any.whl (13 kB)
Collecting exceptiongroup>=1.0.0rc8
Using cached exceptiongroup-1.1.1-py3-none-any.whl (14 kB)
Collecting coverage[toml]>=5.2.1
Using cached coverage-7.2.7-cp310-cp310-macosx_11_0_arm64.whl (201 kB)
Collecting parso<0.9.0,>=0.8.0
Using cached parso-0.8.3-py2.py3-none-any.whl (100 kB)
Collecting MarkupSafe>=2.0
Using cached MarkupSafe-2.1.3-cp310-cp310-macosx_10_9_universal2.whl (17 kB)
Collecting ptyprocess>=0.5
Using cached ptyprocess-0.7.0-py2.py3-none-any.whl (13 kB)
Collecting wcwidth
Using cached wcwidth-0.2.6-py2.py3-none-any.whl (29 kB)
Collecting six>=1.5
Using cached six-1.16.0-py2.py3-none-any.whl (11 kB)
Collecting pure-eval
Using cached pure_eval-0.2.2-py3-none-any.whl (11 kB)
Collecting executing>=1.2.0
Using cached executing-1.2.0-py2.py3-none-any.whl (24 kB)
Collecting asttokens>=2.1.0
Using cached asttokens-2.2.1-py2.py3-none-any.whl (26 kB)
Installing collected packages: wcwidth, pure-eval, ptyprocess, pickleshare, find_libpython, executing, backcall, appnope, wheel, typing-extensions, traitlets, tornado, tomli, six, setuptools, PyYAML, pyparsing, pygments, prompt-toolkit, pluggy, pip, pillow, pexpect, parso, packaging, numpy, mpi4py, MarkupSafe, kiwisolver, iniconfig, fonttools, exceptiongroup, distro, decorator, cython, cycler, coverage, setuptools_scm, scikit-build, python-dateutil, pytest, matplotlib-inline, Jinja2, jedi, contourpy, asttokens, stack-data, pytest-cov, matplotlib, bokeh, ipython
Attempting uninstall: setuptools
Found existing installation: setuptools 65.4.1
Uninstalling setuptools-65.4.1:
Successfully uninstalled setuptools-65.4.1
Attempting uninstall: pip
Found existing installation: pip 22.2.2
Uninstalling pip-22.2.2:
Successfully uninstalled pip-22.2.2
Successfully installed Jinja2-3.1.2 MarkupSafe-2.1.3 PyYAML-6.0 appnope-0.1.3 asttokens-2.2.1 backcall-0.2.0 bokeh-2.4.3 contourpy-1.1.0 coverage-7.2.7 cycler-0.11.0 cython-0.29.35 decorator-5.1.1 distro-1.8.0 exceptiongroup-1.1.1 executing-1.2.0 find_libpython-0.3.0 fonttools-4.40.0 iniconfig-2.0.0 ipython-8.14.0 jedi-0.18.2 kiwisolver-1.4.4 matplotlib-3.7.1 matplotlib-inline-0.1.6 mpi4py-3.1.4 numpy-1.25.0 packaging-23.1 parso-0.8.3 pexpect-4.8.0 pickleshare-0.7.5 pillow-9.5.0 pip-23.1.2 pluggy-1.0.0 prompt-toolkit-3.0.38 ptyprocess-0.7.0 pure-eval-0.2.2 pygments-2.15.1 pyparsing-3.1.0 pytest-7.3.2 pytest-cov-4.1.0 python-dateutil-2.8.2 scikit-build-0.17.6 setuptools-68.0.0 setuptools_scm-7.1.0 six-1.16.0 stack-data-0.6.2 tomli-2.0.1 tornado-6.3.2 traitlets-5.9.0 typing-extensions-4.6.3 wcwidth-0.2.6 wheel-0.40.0
$ git submodule update --init --recursive --force --depth 1 -- external/nmodl
Submodule 'external/nmodl' (https://github.com/BlueBrain/nmodl) registered for path 'external/nmodl'
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/nmodl'...
Submodule path 'external/nmodl': checked out '2ce4a2b91dfcfe6356b6a5003c4e99b8711564ee'
Submodule 'cmake/hpc-coding-conventions' (https://github.com/BlueBrain/hpc-coding-conventions.git) registered for path 'external/nmodl/cmake/hpc-coding-conventions'
Submodule 'ext/catch2' (https://github.com/catchorg/Catch2.git) registered for path 'external/nmodl/ext/catch2'
Submodule 'ext/cli11' (https://github.com/CLIUtils/CLI11.git) registered for path 'external/nmodl/ext/cli11'
Submodule 'ext/eigen' (https://gitlab.com/libeigen/eigen.git) registered for path 'external/nmodl/ext/eigen'
Submodule 'ext/fmt' (https://github.com/fmtlib/fmt.git) registered for path 'external/nmodl/ext/fmt'
Submodule 'ext/json' (https://github.com/nlohmann/json.git) registered for path 'external/nmodl/ext/json'
Submodule 'ext/pybind11' (https://github.com/pybind/pybind11.git) registered for path 'external/nmodl/ext/pybind11'
Submodule 'ext/spdlog' (https://github.com/gabime/spdlog) registered for path 'external/nmodl/ext/spdlog'
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/nmodl/cmake/hpc-coding-conventions'...
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/nmodl/ext/catch2'...
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/nmodl/ext/cli11'...
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/nmodl/ext/eigen'...
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/nmodl/ext/fmt'...
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/nmodl/ext/json'...
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/nmodl/ext/pybind11'...
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/nmodl/ext/spdlog'...
Submodule path 'external/nmodl/cmake/hpc-coding-conventions': checked out 'f8f8d69a66c23978d1c9c5dce62de79466f26e5d'
From https://github.com/catchorg/Catch2
* branch 3f0283de7a9c43200033da996ff9093be3ac84dc -> FETCH_HEAD
Submodule path 'external/nmodl/ext/catch2': checked out '3f0283de7a9c43200033da996ff9093be3ac84dc'
From https://github.com/CLIUtils/CLI11
* branch b9be5b9444772324459989177108a6a65b8b2769 -> FETCH_HEAD
Submodule path 'external/nmodl/ext/cli11': checked out 'b9be5b9444772324459989177108a6a65b8b2769'
From https://gitlab.com/libeigen/eigen
* branch 3147391d946bb4b6c68edd901f2add6ac1f31f8c -> FETCH_HEAD
Submodule path 'external/nmodl/ext/eigen': checked out '3147391d946bb4b6c68edd901f2add6ac1f31f8c'
From https://github.com/fmtlib/fmt
* branch a33701196adfad74917046096bf5a2aa0ab0bb50 -> FETCH_HEAD
Submodule path 'external/nmodl/ext/fmt': checked out 'a33701196adfad74917046096bf5a2aa0ab0bb50'
From https://github.com/nlohmann/json
* branch 4f8fba14066156b73f1189a2b8bd568bde5284c5 -> FETCH_HEAD
Submodule path 'external/nmodl/ext/json': checked out '4f8fba14066156b73f1189a2b8bd568bde5284c5'
From https://github.com/pybind/pybind11
* branch 914c06fb252b6cc3727d0eedab6736e88a3fcb01 -> FETCH_HEAD
Submodule path 'external/nmodl/ext/pybind11': checked out '914c06fb252b6cc3727d0eedab6736e88a3fcb01'
From https://github.com/gabime/spdlog
* branch 76fb40d95455f249bd70824ecfcae7a8f0930fa3 -> FETCH_HEAD
Submodule path 'external/nmodl/ext/spdlog': checked out '76fb40d95455f249bd70824ecfcae7a8f0930fa3'
$ venv/bin/pip install --upgrade -r external/nmodl/requirements.txt
Requirement already satisfied: Jinja2>=2.9.3 in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 1)) (3.1.2)
Requirement already satisfied: PyYAML>=3.13 in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 2)) (6.0)
Requirement already satisfied: pytest in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 3)) (7.3.2)
Requirement already satisfied: pytest-cov in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 4)) (4.1.0)
Collecting sympy (from -r external/nmodl/requirements.txt (line 5))
Using cached sympy-1.12-py3-none-any.whl (5.7 MB)
Requirement already satisfied: numpy in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 6)) (1.25.0)
Requirement already satisfied: find_libpython in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 7)) (0.3.0)
Requirement already satisfied: MarkupSafe>=2.0 in ./venv/lib/python3.10/site-packages (from Jinja2>=2.9.3->-r external/nmodl/requirements.txt (line 1)) (2.1.3)
Requirement already satisfied: iniconfig in ./venv/lib/python3.10/site-packages (from pytest->-r external/nmodl/requirements.txt (line 3)) (2.0.0)
Requirement already satisfied: packaging in ./venv/lib/python3.10/site-packages (from pytest->-r external/nmodl/requirements.txt (line 3)) (23.1)
Requirement already satisfied: pluggy<2.0,>=0.12 in ./venv/lib/python3.10/site-packages (from pytest->-r external/nmodl/requirements.txt (line 3)) (1.0.0)
Requirement already satisfied: exceptiongroup>=1.0.0rc8 in ./venv/lib/python3.10/site-packages (from pytest->-r external/nmodl/requirements.txt (line 3)) (1.1.1)
Requirement already satisfied: tomli>=1.0.0 in ./venv/lib/python3.10/site-packages (from pytest->-r external/nmodl/requirements.txt (line 3)) (2.0.1)
Requirement already satisfied: coverage[toml]>=5.2.1 in ./venv/lib/python3.10/site-packages (from pytest-cov->-r external/nmodl/requirements.txt (line 4)) (7.2.7)
Collecting mpmath>=0.19 (from sympy->-r external/nmodl/requirements.txt (line 5))
Using cached mpmath-1.3.0-py3-none-any.whl (536 kB)
Installing collected packages: mpmath, sympy
Successfully installed mpmath-1.3.0 sympy-1.12
$ source ./venv/bin/activate
$ export PYTHON=${PWD}/venv/bin/python
$ ${PYTHON} --version
Python 3.10.8
$ ${PYTHON} -c "import os,matplotlib; f = open(os.path.join(os.path.dirname(matplotlib.__file__), \"mpl-data/matplotlibrc\"),\"a\"); f.write(\"backend: TkAgg\");f.close();"
$ export CXX=${CXX:-g++}
$ export CC=${CC:-gcc}
$ brew install flex bison
Warning: flex 2.6.4_2 is already installed and up-to-date.
To reinstall 2.6.4_2, run:
brew reinstall flex
Warning: bison 3.8.2 is already installed and up-to-date.
To reinstall 3.8.2, run:
brew reinstall bison
$ export PATH="/opt/homebrew/opt/flex/bin:/opt/homebrew/opt/bison/bin:$PATH"
$ export INSTALL_DIR=$(pwd)/install
$ echo $LANG
$ echo $LC_ALL
$ export PYTHONPATH=$(${PYTHON} -c "import site; print(\":\".join(site.getsitepackages()))")
$ ${PYTHON} -c 'import os,sys; os.set_blocking(sys.stdout.fileno(), True)'
$ cmake_args=(-G Ninja)
$ if [[ -n "${sanitizer}" ]]; then
$ cmake_args+=(-DCMAKE_BUILD_TYPE=Custom -DCMAKE_C_FLAGS="-O1 -g" -DCMAKE_CXX_FLAGS="-O1 -g" -DNRN_SANITIZERS=$(echo ${sanitizer} | sed -e 's/-/,/g'))
$ fi
$ cmake_args+=(-DCMAKE_C_COMPILER="${CC}" -DCMAKE_C_COMPILER_LAUNCHER=ccache -DCMAKE_CXX_COMPILER="${CXX}" -DCMAKE_CXX_COMPILER_LAUNCHER=ccache -DCMAKE_INSTALL_PREFIX="${INSTALL_DIR}" -DNRN_ENABLE_TESTS=ON -DNRN_ENABLE_PERFORMANCE_TESTS=OFF -DNRN_ENABLE_CORENEURON=${cmake_coreneuron} -DNRN_ENABLE_INTERVIEWS=${cmake_interviews} -DNRN_ENABLE_RX3D=${cmake_rx3d})
$ cmake_args+=(-DPYTHON_EXECUTABLE="${PYTHON}")
$ mkdir build && cd build
$ echo "Building with ${cmake_args[@]}"
Building with -G Ninja -DCMAKE_BUILD_TYPE=Custom -DCMAKE_C_FLAGS=-O1 -g -DCMAKE_CXX_FLAGS=-O1 -g -DNRN_SANITIZERS=address -DCMAKE_C_COMPILER=gcc -DCMAKE_C_COMPILER_LAUNCHER=ccache -DCMAKE_CXX_COMPILER=g++ -DCMAKE_CXX_COMPILER_LAUNCHER=ccache -DCMAKE_INSTALL_PREFIX=/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install -DNRN_ENABLE_TESTS=ON -DNRN_ENABLE_PERFORMANCE_TESTS=OFF -DNRN_ENABLE_CORENEURON=ON -DNRN_ENABLE_INTERVIEWS=OFF -DNRN_ENABLE_RX3D=OFF -DPYTHON_EXECUTABLE=/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/venv/bin/python
$ cmake .. "${cmake_args[@]}"
-- The C compiler identification is AppleClang 14.0.0.14000029
-- The CXX compiler identification is AppleClang 14.0.0.14000029
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Check for working C compiler: /usr/bin/gcc - skipped
-- Detecting C compile features
-- Detecting C compile features - done
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Check for working CXX compiler: /usr/bin/g++ - skipped
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- git describe failed (stdout: '', stderr: 'fatal: No names found, cannot describe anything.', code: 128), skipping the version check
-- Sub-module : missing external/coding-conventions : running git submodule update --init
Submodule 'external/coding-conventions' (https://github.com/BlueBrain/hpc-coding-conventions.git) registered for path 'external/coding-conventions'
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/coding-conventions'...
Submodule path 'external/coding-conventions': checked out 'f8f8d69a66c23978d1c9c5dce62de79466f26e5d'
-- Fetching git submodule external/Random123: running git submodule update --init;--recursive;--depth;1 -- external/Random123
Submodule 'external/Random123' (https://github.com/BlueBrain/Random123.git) registered for path 'external/Random123'
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/Random123'...
From https://github.com/BlueBrain/Random123
* branch b5cb15614e8f3eb0ff316bdc50c300ddd32fa3c1 -> FETCH_HEAD
Submodule path 'external/Random123': checked out 'b5cb15614e8f3eb0ff316bdc50c300ddd32fa3c1'
-- 3rd party project: using Random123 from "external/Random123"
-- Enabling sanitizers: address
-- Sanitizer runtime library: /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin/libclang_rt.asan_osx_dynamic.dylib
-- Checking if /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/venv/bin/python is a working python
-- Found BISON: /opt/homebrew/opt/bison/bin/bison (found version "3.8.2")
-- Found FLEX: /opt/homebrew/opt/flex/bin/flex (found suitable version "2.6.4", minimum required is "2.6")
-- Found Readline: /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/include
-- Found MPI_C: /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib (found version "3.1")
-- Found MPI_CXX: /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib (found version "3.1")
-- Found MPI: TRUE (found version "3.1")
-- Detected OpenMPI 4.1.4
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success
-- Found Threads: TRUE
-- Building CoreNEURON
-- Fetching git submodule external/CLI11: running git submodule update --init;--recursive;--depth;1 -- external/CLI11
Submodule 'external/CLI11' (https://github.com/CLIUtils/CLI11.git) registered for path 'external/CLI11'
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/CLI11'...
From https://github.com/CLIUtils/CLI11
* branch 291c58789c031208f08f4f261a858b5b7083e8e2 -> FETCH_HEAD
Submodule path 'external/CLI11': checked out '291c58789c031208f08f4f261a858b5b7083e8e2'
-- 3rd party project: using CLI11 from "external/CLI11"
-- Found Git: /usr/bin/git (found version "2.37.0 (Apple Git-136)")
-- Found Perl: /usr/bin/perl (found version "5.30.3")
-- Could NOT find nmodl (missing: nmodl_BINARY nmodl_INCLUDE nmodl_PYTHONPATH)
-- Sub-project : using nmodl from from /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/nmodl
-- CHECKING FOR FLEX/BISON
-- Found BISON: /opt/homebrew/opt/bison/bin/bison (found suitable version "3.8.2", minimum required is "3.0")
-- Adding Flex include path as : /opt/homebrew/opt/flex/include/
-- NMODL_TEST_FORMATTING: OFF
-- NMODL_GIT_HOOKS: OFF
-- NMODL_GIT_COMMIT_HOOKS:
-- NMODL_GIT_PUSH_HOOKS: courtesy-msg
-- NMODL_STATIC_ANALYSIS: OFF
-- NMODL_TEST_STATIC_ANALYSIS: OFF
-- 3rd party project: using eigen from "ext/eigen"
-- 3rd party project: using fmt from "ext/fmt"
-- Module support is disabled.
-- Version: 9.1.0
-- Build type: Custom
-- CXX_STANDARD: 17
-- Required features: cxx_variadic_templates
-- 3rd party project: using json from "ext/json"
-- Using the single-header code from /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/nmodl/ext/json/single_include/
-- 3rd party project: using pybind11 from "ext/pybind11"
-- pybind11 v2.9.2
-- Found PythonInterp: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/venv/bin/python (found version "3.10.8")
-- Found PythonLibs: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib
-- 3rd party project: using spdlog from "ext/spdlog"
-- Build spdlog: 1.10.0
-- Build type: Custom
-- CHECKING FOR PYTHON
-- Found PythonInterp: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/venv/bin/python (found suitable version "3.10.8", minimum required is "3.8")
--
-- Configured NMODL 0.0 (2ce4a2b 2023-06-05 16:57:21 +0200)
--
-- You can now build NMODL using:
-- cmake --build . --parallel 8 [--target TARGET]
-- You might want to adjust the number of parallel build jobs for your system.
-- Some non-default targets you might want to build:
-- --------------------+--------------------------------------------------------
-- Target | Description
-- --------------------+--------------------------------------------------------
-- test | Run unit tests
-- install | Will install NMODL to: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install
-- --------------------+--------------------------------------------------------
-- Build option | Status
-- --------------------+--------------------------------------------------------
-- CXX COMPILER | /usr/bin/g++
-- COMPILE FLAGS | -O1 -g
-- Build Type | Custom
-- Legacy Units | OFF
-- Python Bindings | ON
-- Flex | /opt/homebrew/opt/flex/bin/flex
-- Bison | /opt/homebrew/opt/bison/bin/bison
-- Python | /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/venv/bin/python
-- Linked against | TRUE
-- --------------+--------------------------------------------------------------
-- See documentation : https://github.com/BlueBrain/nmodl/
-- --------------+--------------------------------------------------------------
--
-- Boost found, unit tests enabled
--
-- CoreNEURON is enabled with following build configuration:
-- --------------------+--------------------------------------------------------
-- Build option | Status
-- --------------------+--------------------------------------------------------
-- CXX COMPILER | /usr/bin/g++
-- COMPILE FLAGS | -O1 -g -std=c++17 -DCORENEURON_BUILD -DEIGEN_DONT_PARALLELIZE -DNRNMPI=1 -DLAYOUT=0 -DDISABLE_HOC_EXP -DENABLE_SPLAYTREE_QUEUING -DCORENEURON_USE_LEGACY_UNITS=0 -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope
-- Build Type | SHARED
-- MPI | ON
-- DYNAMIC | OFF
-- INC | /opt/homebrew/Cellar/open-mpi/4.1.4_2/include
-- OpenMP | ON
-- Use legacy units | OFF
-- NMODL PATH | /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/bin/nmodl
-- NMODL FLAGS |
-- GPU Support | OFF
-- Auto Timeout | ON
-- Wrap exp() | OFF
-- SplayTree Queue | ON
-- NetReceive Buffer | ON
-- Caliper | OFF
-- Likwid | OFF
-- Unit Tests | ON
-- Reporting | OFF
-- --------------+--------------------------------------------------------------
--
Extracting link flags from target 'nrngnu', beware that this can be fragile. Got:
Extracting link flags from target 'Threads::Threads', beware that this can be fragile. Got:
Generating link flags from path /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib Got: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib
Generating link flags from path /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib Got: /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
-- Sub-module : missing /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/catch2 : running git submodule update --init
Submodule 'external/catch2' (https://github.com/catchorg/Catch2.git) registered for path 'external/catch2'
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/external/catch2'...
Submodule path 'external/catch2': checked out 'c4e3767e265808590986d5db6ca1b5532a7f3d13'
-- Found Python module 'pytest' with version(s): 7.3.2
-- Found Python module 'pytest_cov' with version(s): 4.1.0
-- Fetching git submodule test/rxd/testdata: running git submodule update --init;--recursive;--depth;1 -- test/rxd/testdata
Submodule 'test/rxd/testdata' (https://github.com/neuronsimulator/rxdtestdata) registered for path 'test/rxd/testdata'
Cloning into '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/test/rxd/testdata'...
From https://github.com/neuronsimulator/rxdtestdata
* branch be297655abf0f98be95a051576d43aad23cebbf0 -> FETCH_HEAD
Submodule path 'test/rxd/testdata': checked out 'be297655abf0f98be95a051576d43aad23cebbf0'
-- 3rd party project: using rxd/testdata from "test/rxd/testdata"
-- Found Python module 'mpi4py' with version(s): 3.1.4
-- Disabling coreneuron_modtests::fornetcon_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::direct_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::direct_hoc_gpu: gpu not enabled
-- Disabling coreneuron_modtests::spikes_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::spikes_file_mode_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::fast_imem_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::datareturn_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_units_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_netmove_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_pointer_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_watchrange_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_psolve_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_ba_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_natrans_py_gpu: gpu not enabled
-- Found Python module 'mpi4py' with version(s): 3.1.4
-- Disabling coreneuron_modtests::spikes_mpi_file_mode_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::inputpresyn_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_subworlds_py_gpu: gpu not enabled
-- Disabling nmodl_tests_coreneuron::test_table_coreneuron_gpu: gpu not enabled
-- Disabling nmodl_tests_coreneuron::test_disc_coreneuron_gpu: gpu not enabled
-- Disabling nmodl_tests_coreneuron::test_function_table_coreneuron_gpu: gpu not enabled
-- Disabling nmodl_tests_coreneuron::test_kinetic_coreneuron_gpu: gpu not enabled
-- Disabling reduced_dentate::coreneuron_gpu: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_offline_saverestore: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_python: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_offline: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_threads: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_threads_python: gpu not enabled
-- Disabling testcorenrn_bbcore::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_bbcore::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_bbcore::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_conc::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_conc::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_conc::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_deriv::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_deriv::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_deriv::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_gf::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_gf::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_gf::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_kin::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_kin::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_kin::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_patstim::coreneuron_gpu_offline_saverestore: gpu not enabled
-- Disabling testcorenrn_patstim::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_vecplay::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_vecplay::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_vecplay::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_vecevent::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_vecevent::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_vecevent::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_watch::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_watch::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_watch::coreneuron_gpu_offline: gpu not enabled
-- Could NOT find OpenSSL, try to set the path to OpenSSL root folder in the system variable OPENSSL_ROOT_DIR (missing: OPENSSL_CRYPTO_LIBRARY OPENSSL_INCLUDE_DIR)
-- Skipping tqperf test as OpenSSL package not found
--
-- Configured NEURON 9.0.0
--
-- You can now build NEURON using:
-- cmake --build . --parallel 8 [--target TARGET]
-- You might want to adjust the number of parallel build jobs for your system.
-- Some non-default targets you might want to build:
-- --------------+--------------------------------------------------------------
-- Target | Description
-- --------------+--------------------------------------------------------------
-- install | Will install NEURON to: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install
-- | Change the install location of NEURON using:
-- | cmake <src_path> -DCMAKE_INSTALL_PREFIX=<install_path>
-- docs | Build full docs. Calls targets: doxygen, notebooks, sphinx, notebooks-clean
-- uninstall | Removes files installed by make install (todo)
-- --------------+--------------------------------------------------------------
-- Build option | Status
-- --------------+--------------------------------------------------------------
-- C COMPILER | /usr/bin/gcc
-- CXX COMPILER | /usr/bin/g++
-- BUILD_TYPE | Custom (allowed: Custom;Debug;Release;RelWithDebInfo;Fast)
-- COMPILE FLAGS | -O1 -g -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope
-- Shared | ON
-- Default units | modern units (2019 nist constants)
-- MPI | ON
-- DYNAMIC | OFF
-- INC | /opt/homebrew/Cellar/open-mpi/4.1.4_2/include
-- LIB | /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib
-- Python | ON
-- DYNAMIC | OFF
-- MODULE | ON
-- python3.10 (default)
-- EXE | /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/venv/bin/python
-- INC | /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/include/python3.10
-- LIB | /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib
-- Readline | /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/lib/libreadline.tbd
-- Curses | /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/lib/libcurses.tbd;/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/lib/libform.tbd
-- RX3D | OFF
-- Interviews | OFF
-- CoreNEURON | ON
-- PATH | /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/coreneuron
-- LINK FLAGS | -lcorenrnmech -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib
-- Legacy Units| OFF
-- Tests | ON
-- --------------+--------------------------------------------------------------
-- See documentation : https://www.neuron.yale.edu/neuron/
-- --------------+--------------------------------------------------------------
--
-- Configuring done
-- Generating done
-- Build files have been written to: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build
$ ccache -z
Statistics zeroed
$ ccache -vs 2>/dev/null
Cache directory: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/ccache
Config file: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/ccache/ccache.conf
System config file: /opt/homebrew/Cellar/ccache/4.7.2/etc/ccache.conf
Stats updated: Mon Jun 19 23:44:17 2023
Local storage:
Cache size (GB): 0.52 / 5.00 (10.36%)
Files: 4304
Hits: 0
Misses: 0
Reads: 0
Writes: 0
$ cmake --build . --parallel
[0/2] Re-checking globbed directories...
[1/1728] Generating share/nrn/demo/demo.hoc
[2/1728] Generating share/nrn/demo/dend3.ses
[3/1728] Generating share/nrn/demo/dend3/syn3.hoc
[4/1728] Generating share/nrn/demo/cycle.hoc
[5/1728] Generating share/nrn/demo/d3init.hoc
[6/1728] Generating share/nrn/demo/demo.ses
[7/1728] Generating share/nrn/demo/dend3/dend3.nrn
[8/1728] Generating share/nrn/demo/dend3/control.hoc
[9/1728] Generating share/nrn/demo/dynchan.ses
[10/1728] Generating share/nrn/demo/dynclamp.hoc
[11/1728] Generating share/nrn/demo/dynclamp.ses
[12/1728] Generating share/nrn/demo/hh.hoc
[13/1728] Update hh.mod for CoreNEURON compatibility
[14/1728] Generating share/nrn/demo/hh.ses
[15/1728] Generating share/nrn/demo/pyramid.nrn
[16/1728] Generating share/nrn/demo/nomodel.hoc
[17/1728] Generating share/nrn/demo/pyramid.ses
[18/1728] Generating share/nrn/demo/reconst.hoc
[19/1728] Generating share/nrn/demo/release.ses
[20/1728] Generating share/nrn/demo/release/camchan.mod
[21/1728] Generating share/nrn/demo/release/cachan1.mod
[22/1728] Generating share/nrn/demo/release.hoc
[23/1728] Generating share/nrn/demo/release/cabpump.mod
[24/1728] Generating share/nrn/demo/release/capump.mod
[25/1728] Generating share/nrn/demo/release/mcna.mod
[26/1728] Generating share/nrn/demo/release/khhchan.mod
[27/1728] Generating share/nrn/demo/release/invlfire.mod
[28/1728] Generating share/nrn/demo/release/nachan.mod
[29/1728] Generating share/nrn/demo/release/nacaex.mod
[30/1728] Generating share/nrn/demo/release/release.mod
[31/1728] Generating share/nrn/demo/singhhchan.hoc
[32/1728] Generating share/nrn/demo/singhh.ses
[33/1728] Generating share/nrn/demo/singhh.hoc
[34/1728] Generating share/nrn/demo/sync/init.hoc
[35/1728] Generating share/nrn/demo/sync/demosync.hoc
[36/1728] Generating share/nrn/demo/sync/cell.hoc
[37/1728] Generating share/nrn/demo/sync/spkplot.hoc
[38/1728] Generating share/nrn/demo/sync/start.ses
[39/1728] Generating share/nrn/demo/testca.hoc
[40/1728] Generating share/nrn/lib/auditscripts/notes
[41/1728] Generating share/nrn/lib/auditscripts/gettarname
[42/1728] Generating share/nrn/demo/sync/synchronize.hoc
[43/1728] Generating share/nrn/lib/auditscripts/hocaudit.sh
[44/1728] Generating share/nrn/lib/auditscripts/retrieve.sh
[45/1728] Generating share/nrn/lib/auditscripts/saveaudit
[46/1728] Generating share/nrn/lib/hoc/atoltool.hoc
[47/1728] Generating share/nrn/lib/cleanup
[48/1728] Generating share/nrn/lib/helpdict
[49/1728] Generating share/nrn/lib/hoc/attshape.hoc
[50/1728] Generating share/nrn/lib/hoc/celbild.hoc
[51/1728] Generating share/nrn/lib/hoc/celbild/celbild1.hoc
[52/1728] Generating share/nrn/lib/hoc/celbild/celgeom.hoc
[53/1728] Generating share/nrn/lib/hoc/binfo.hoc
[54/1728] Generating share/nrn/lib/hoc/celbild/celmemb.hoc
[55/1728] Generating share/nrn/lib/hoc/celbild/celmang.hoc
[56/1728] Generating share/nrn/lib/hoc/celbild/celset.hoc
[57/1728] Generating share/nrn/lib/hoc/celbild/celtopol.hoc
[58/1728] Generating share/nrn/lib/hoc/chanbild.hoc
[59/1728] Generating share/nrn/lib/hoc/chanbild/chanbild1.hoc
[60/1728] Generating share/nrn/lib/hoc/celbild/inhomofn.hoc
[61/1728] Generating share/nrn/lib/hoc/chanbild/const.hoc
[62/1728] Generating share/nrn/lib/hoc/chanbild/gategui.hoc
[63/1728] Generating share/nrn/lib/hoc/celbild/psubset.hoc
[64/1728] Generating share/nrn/lib/hoc/chanbild/kschanbg.hoc
[65/1728] Generating share/nrn/lib/hoc/chanbild/exp.hoc
[66/1728] Generating share/nrn/lib/hoc/chanbild/ksgate.hoc
[67/1728] Generating share/nrn/lib/hoc/chanbild/kstgui.hoc
[68/1728] Generating share/nrn/lib/hoc/chanbild/kstrans.hoc
[69/1728] Generating share/nrn/lib/hoc/chanbild/ksstate.hoc
[70/1728] Generating share/nrn/lib/hoc/chanbild/sigmoid.hoc
[71/1728] Generating share/nrn/lib/hoc/chanbild/linoid.hoc
[72/1728] Generating share/nrn/lib/hoc/corenrn.hoc
[73/1728] Generating share/nrn/lib/hoc/chanbild/properties.hoc
[74/1728] Generating share/nrn/lib/hoc/chanbild/table.hoc
[75/1728] Generating share/nrn/lib/hoc/electrod.hoc
[76/1728] Generating share/nrn/lib/hoc/gatherv.hoc
[77/1728] Generating share/nrn/lib/hoc/family.hoc
[78/1728] Generating share/nrn/lib/hoc/grapher.hoc
[79/1728] Generating share/nrn/lib/hoc/impedanx.hoc
[80/1728] Generating share/nrn/lib/hoc/funfit.hoc
[81/1728] Generating share/nrn/lib/hoc/impedanc.hoc
[82/1728] Generating share/nrn/lib/hoc/import3d.hoc
[83/1728] Generating share/nrn/lib/hoc/import3d/import3d_gui.hoc
[84/1728] Generating share/nrn/lib/hoc/import3d/import3d_sec.hoc
[85/1728] Generating share/nrn/lib/hoc/import3d/read_morphml.hoc
[86/1728] Generating share/nrn/lib/hoc/import3d/read_nlcda3.hoc
[87/1728] Generating share/nrn/lib/hoc/import3d/read_nlcda.hoc
[88/1728] Generating share/nrn/lib/hoc/impratio.hoc
[89/1728] Generating share/nrn/lib/hoc/import3d/read_nts.hoc
[90/1728] Generating share/nrn/lib/hoc/lincir.hoc
[91/1728] Generating share/nrn/lib/hoc/import3d/read_swc.hoc
[92/1728] Generating share/nrn/lib/hoc/inserter.hoc
[93/1728] Generating share/nrn/lib/hoc/lincir/elmbase.hoc
[94/1728] Generating share/nrn/lib/hoc/lincir/linmech.hoc
[95/1728] Generating share/nrn/lib/hoc/lincir/lincir1.hoc
[96/1728] Generating share/nrn/lib/hoc/lincir/lingraph.hoc
[97/1728] Generating share/nrn/lib/hoc/loadbal.hoc
[98/1728] Generating share/nrn/lib/hoc/mknrndll.hoc
[99/1728] Generating share/nrn/lib/hoc/logax.hoc
[100/1728] Generating share/nrn/lib/hoc/modlunit.hoc
[101/1728] Generating share/nrn/lib/hoc/movierun.hoc
[102/1728] Generating share/nrn/lib/hoc/mkcurve.hoc
[103/1728] Generating share/nrn/lib/hoc/macload.hoc
[104/1728] Generating share/nrn/lib/hoc/mulfit.hoc
[105/1728] Generating share/nrn/lib/hoc/mulfit/e_actpot.hoc
[106/1728] Generating share/nrn/lib/hoc/mulfit/e_xy.hoc
[107/1728] Generating share/nrn/lib/hoc/mulfit/clampfit.hoc
[108/1728] Generating share/nrn/lib/hoc/mulfit/e_norm.hoc
[109/1728] Generating share/nrn/lib/hoc/mulfit/eoneprim.hoc
[110/1728] Generating share/nrn/lib/hoc/mulfit/e_y.hoc
[111/1728] Generating share/nrn/lib/hoc/mulfit/eonefunc.hoc
[112/1728] Generating share/nrn/lib/hoc/mulfit/eparmlst.hoc
[113/1728] Generating share/nrn/lib/hoc/mulfit/eonerun.hoc
[114/1728] Generating share/nrn/lib/hoc/mulfit/fitparm.hoc
[115/1728] Generating share/nrn/lib/hoc/mulfit/protorun.hoc
[116/1728] Generating share/nrn/lib/hoc/mulfit/mulfit1.hoc
[117/1728] Generating share/nrn/lib/hoc/mulfit/optwrap.hoc
[118/1728] Generating share/nrn/lib/hoc/mview.hoc
[119/1728] Generating share/nrn/lib/hoc/mview/allcell.hoc
[120/1728] Generating share/nrn/lib/hoc/mview/artview.hoc
[121/1728] Generating share/nrn/lib/hoc/mview/allpp.hoc
[122/1728] Generating share/nrn/lib/hoc/mview/distinct.hoc
[123/1728] Generating share/nrn/lib/hoc/mview/distinctparm.hoc
[124/1728] Generating share/nrn/lib/hoc/mview/mview1.hoc
[125/1728] Generating share/nrn/lib/hoc/mview/mviewxml.hoc
[126/1728] Generating share/nrn/lib/hoc/mview/mviewgui.hoc
[127/1728] Generating share/nrn/lib/hoc/mview/parmsets.hoc
[128/1728] Generating share/nrn/lib/hoc/mview/ncview.hoc
[129/1728] Generating share/nrn/lib/hoc/mview/parmvals.hoc
[130/1728] Generating share/nrn/lib/hoc/mview/ppanal.hoc
[131/1728] Generating share/nrn/lib/hoc/mview/rcclasses.hoc
[132/1728] Generating share/nrn/lib/hoc/mview/treeview.hoc
[133/1728] Generating share/nrn/lib/hoc/mview/realcell.hoc
[134/1728] Generating share/nrn/lib/hoc/mview/secanal.hoc
[135/1728] Generating share/nrn/lib/hoc/netbild/artcel.hoc
[136/1728] Generating share/nrn/lib/hoc/netbild.hoc
[137/1728] Generating share/nrn/lib/hoc/netbild/edgegui.hoc
[138/1728] Generating share/nrn/lib/hoc/netbild/netdata.hoc
[139/1728] Generating share/nrn/lib/hoc/netbild/netgui.hoc
[140/1728] Generating share/nrn/lib/hoc/netbild/netready.hoc
[141/1728] Generating share/nrn/lib/hoc/netbild/spkplot.hoc
[142/1728] Generating share/nrn/lib/hoc/netbild/grstrlst.hoc
[143/1728] Generating share/nrn/lib/hoc/netbild/syntypes.hoc
[144/1728] Generating share/nrn/lib/hoc/netparmpi.hoc
[145/1728] Generating share/nrn/lib/hoc/noload.hoc
[146/1728] Generating share/nrn/lib/hoc/nrngui.hoc
[147/1728] Generating share/nrn/lib/hoc/pointbsr.hoc
[148/1728] Generating share/nrn/lib/hoc/nrngui1.hoc
[149/1728] Generating share/nrn/lib/hoc/parcom.hoc
[150/1728] Generating share/nrn/lib/hoc/pcchdir.hoc
[151/1728] Generating share/nrn/lib/hoc/pointgrp.hoc
[152/1728] Generating share/nrn/lib/hoc/pointman.hoc
[153/1728] Generating share/nrn/lib/hoc/prcellstate.hoc
[154/1728] Generating share/nrn/lib/hoc/runfit.hoc
[155/1728] Generating share/nrn/lib/hoc/shapebox.hoc
[156/1728] Generating share/nrn/lib/hoc/showmech.hoc
[157/1728] Generating share/nrn/lib/hoc/single.hoc
[158/1728] Generating share/nrn/lib/hoc/single1.hoc
[159/1728] Generating share/nrn/lib/hoc/stdgui.hoc
[160/1728] Generating share/nrn/lib/hoc/stdrun.hoc
[161/1728] Generating share/nrn/lib/hoc/subiter.hoc
[162/1728] Generating share/nrn/lib/hoc/stdlib.hoc
[163/1728] Generating share/nrn/lib/hoc/thresh.hoc
[164/1728] Generating share/nrn/lib/hoc/varmeth1.hoc
[165/1728] Generating share/nrn/lib/hoc/wingroup.hoc
[166/1728] Generating share/nrn/lib/hoc/vplay.hoc
[167/1728] Generating share/nrn/lib/nrn.defaults.in
[168/1728] Generating share/nrn/lib/hoc/vecwrap.hoc
[169/1728] Generating share/nrn/lib/prologue.id
[170/1728] Generating share/nrn/lib/hocload.sh
[171/1728] Generating share/nrn/lib/python/neuron/__init__.py
[172/1728] Generating share/nrn/lib/python/neuron/coreneuron.py
[173/1728] Generating share/nrn/lib/nrnunits.lib.in
[174/1728] Generating share/nrn/lib/python/neuron/config.py
[175/1728] Generating share/nrn/lib/python/neuron/doc.py
[176/1728] Generating share/nrn/lib/python/neuron/crxd/__init__.py
[177/1728] Generating share/nrn/lib/python/neuron/expect_hocerr.py
[178/1728] Generating share/nrn/lib/python/neuron/gui.py
[179/1728] Generating share/nrn/lib/python/neuron/gui2/__init__.py
[180/1728] Generating share/nrn/lib/python/neuron/gui2/plotshape.py
[181/1728] Generating share/nrn/lib/python/neuron/gui2/config.py
[182/1728] Generating share/nrn/lib/python/neuron/gui2/rangevar.py
[183/1728] Generating share/nrn/lib/python/neuron/gui2/setup_threejs.py
[184/1728] Generating share/nrn/lib/python/neuron/gui2/utilities.py
[185/1728] Generating share/nrn/lib/python/neuron/hclass3.py
[186/1728] Generating share/nrn/lib/python/neuron/neuroml/__init__.py
[187/1728] Generating share/nrn/lib/python/neuron/neuroml/metadata.py
[188/1728] Generating share/nrn/lib/python/neuron/neuroml/neuroml.py
[189/1728] Generating help_data.dat
[190/1728] Generating share/nrn/lib/python/neuron/neuroml/biophysics.py
[191/1728] Generating share/nrn/lib/python/neuron/neuroml/rdxml.py
[192/1728] Generating share/nrn/lib/python/neuron/neuroml/morphml.py
[193/1728] Generating share/nrn/lib/python/neuron/neuroml/xml2nrn.py
[194/1728] Generating share/nrn/lib/python/neuron/nonvint_block_supervisor.py
[195/1728] Generating share/nrn/lib/python/neuron/psection.py
[196/1728] Generating share/nrn/lib/python/neuron/rxd/__init__.py
[197/1728] Generating share/nrn/lib/python/neuron/rxd/constants.py
[198/1728] Generating share/nrn/lib/python/neuron/rxd/export.py
[199/1728] Generating share/nrn/lib/python/neuron/rxd/dimension3.py
[200/1728] Generating share/nrn/lib/python/neuron/rxd/generalizedReaction.py
[201/1728] Generating share/nrn/lib/python/neuron/rxd/geometry.py
[202/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/__init__.py
[203/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/FullJoinMorph.py
[204/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/GeneralizedVoxelization.py
[205/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/ctng.pyx
[206/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/scalarField.py
[207/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/graphicsPrimitives.pyx
[208/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/surface.py
[209/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/surfaces.pyx
[210/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/simplevolume_helper.py
[211/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/surface_a.py
[212/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/triangularMesh.py
[213/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/voxelize.py
[214/1728] Generating share/nrn/lib/python/neuron/rxd/geometry3d/voxelize2.py
[215/1728] Generating share/nrn/lib/python/neuron/rxd/multiCompartmentReaction.py
[216/1728] Generating share/nrn/lib/python/neuron/rxd/node.py
[217/1728] Generating share/nrn/lib/python/neuron/rxd/gui.py
[218/1728] Generating share/nrn/lib/python/neuron/rxd/initializer.py
[219/1728] Generating share/nrn/lib/python/neuron/rxd/nodelist.py
[220/1728] Generating share/nrn/lib/python/neuron/rxd/options.py
[221/1728] Generating share/nrn/lib/python/neuron/rxd/plugins.py
[222/1728] Generating share/nrn/lib/python/neuron/rxd/rangevar.py
[223/1728] Generating share/nrn/lib/python/neuron/rxd/reaction.py
[224/1728] Generating share/nrn/lib/python/neuron/rxd/rate.py
[225/1728] Generating share/nrn/lib/python/neuron/rxd/region.py
[226/1728] Generating share/nrn/lib/python/neuron/rxd/rxd.py
[227/1728] Generating share/nrn/lib/python/neuron/rxd/section1d.py
[228/1728] Generating share/nrn/lib/python/neuron/rxd/rxdException.py
[229/1728] Generating share/nrn/lib/python/neuron/rxd/rxdmath.py
[230/1728] Generating share/nrn/lib/python/neuron/rxd/species.py
[231/1728] Generating share/nrn/lib/python/neuron/rxdtests/do_test.py
[232/1728] Generating share/nrn/lib/python/neuron/rxd/rxdsection.py
[233/1728] Generating share/nrn/lib/python/neuron/rxdtests/readme.txt
[234/1728] Generating share/nrn/lib/python/neuron/rxdtests/run_all.py
[235/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/circadian_rhythm.py
[236/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/include_flux3d_cvode.py
[237/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/include_flux3d.py
[238/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/pure_diffusion_3d.py
[239/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/torun.txt
[240/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/c91662.swc
[241/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/pure_diffusion_3d_cvode.py
[242/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/IraHH.py
[243/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/ca_pump.py
[244/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/multicompartment_reactions.py
[245/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/cabuf.py
[246/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/cabuf_fixed_step.py
[247/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_before_sections.py
[248/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_example_cvode.py
[249/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_include_flux.py
[250/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_example.py
[251/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_multi_example.py
[252/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_include_flux_cvode.py
[253/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_multi_example_cvode.py
[254/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/torun.txt
[255/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh.py
[256/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh_cvode.py
[257/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/pump.mod
[258/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh_param_cvode.py
[259/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh_morph.py
[260/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh_param.py
[261/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/bistable_hybrid.py
[262/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/bistable_hybrid_change_nthread.py
[263/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/bistable_hybrid_cvode.py
[264/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/bistable_hybrid_cvode_change_nthread.py
[265/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/pure_diffusion_hybrid_cvode.py
[266/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/pure_diffusion_hybrid.py
[267/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/torun.txt
[268/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/include_flux.py
[269/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/multicompartment_mebrane_mismatch.py
[270/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/include_flux_cvode.py
[271/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/multicompartment_reactions.py
[272/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/pure_diffusion.py
[273/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/multicompartment_reactions_del.py
[274/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/multicompartment_reactions_with_v.py
[275/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/nodes_update.py
[276/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/reaction_param_test.py
[277/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/pure_diffusion_cvode.py
[278/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/react_region_specified.py
[279/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/reaction_test.py
[280/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/reaction_null_dest.py
[281/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/torun.txt
[282/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/verify_no_initialization_order_issue.py
[283/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/caleak.mod
[284/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/readme.txt
[285/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/torun.txt
[286/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/cal2.mod
[287/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_25_5.py
[288/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_taper_125_1.py
[289/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_125_1.py
[290/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_taper_25_5.py
[291/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_tree2_125_1.py
[292/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_tree2_25_5.py
[293/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_tree_125_1.py
[294/1728] Generating share/nrn/lib/python/neuron/sections.py
[295/1728] Generating share/nrn/lib/python/neuron/tests/__init__.py
[296/1728] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_tree_25_5.py
[297/1728] Generating share/nrn/lib/python/neuron/tests/_subclass.py
[298/1728] Generating share/nrn/lib/python/neuron/tests/test_all.py
[299/1728] Generating share/nrn/lib/python/neuron/tests/test_rxd.py
[300/1728] Generating share/nrn/lib/python/neuron/tests/test_vector.py
[301/1728] Generating share/nrn/lib/python/neuron/tests/utils/__init__.py
[302/1728] Generating share/nrn/lib/python/neuron/tests/utils/checkresult.py
[303/1728] Generating share/nrn/lib/python/neuron/tests/test_neuron.py
[304/1728] Generating share/nrn/lib/python/neuron/tests/utils/capture_stdout.py
[305/1728] Generating share/nrn/lib/python/neuron/units.py
[306/1728] Generating share/nrn/lib/python/neuron/tests/utils/strtobool.py
[307/1728] Generating share/nrn/lib/python/scripts/modlunit
[308/1728] Generating share/nrn/lib/python/scripts/idraw
[309/1728] Generating share/nrn/lib/python/scripts/mkthreadsafe
[310/1728] Generating share/nrn/lib/python/scripts/neurondemo
[311/1728] Generating share/nrn/lib/python/scripts/nmodl
[312/1728] Generating share/nrn/lib/python/scripts/_README.txt
[313/1728] Generating share/nrn/lib/python/scripts/_binwrapper.py
[314/1728] Generating share/nrn/lib/python/scripts/nrngui
[315/1728] Generating share/nrn/lib/python/scripts/nrniv
[316/1728] Generating share/nrn/lib/python/scripts/nrniv-core
[317/1728] Generating share/nrn/lib/python/scripts/nrnivmodl
[318/1728] Generating share/nrn/lib/python/scripts/nrnivmodl-core
[319/1728] Generating share/nrn/lib/python/scripts/sortspike
[320/1728] Generating share/nrn/lib/shape.cm2
[321/1728] Generating share/nrn/lib/python/scripts/nrnpyenv.sh
[322/1728] Generating share/nrn/lib/shape.cm1
[323/1728] Generating include/backtrace_utils.h
[324/1728] Generating include/bbsavestate.h
[325/1728] Generating include/crout.hpp
[326/1728] Generating include/cabvars.h
[327/1728] Generating include/deflate.hpp
[328/1728] Generating include/crout_thread.hpp
[329/1728] Generating include/cspmatrix.h
[330/1728] Generating include/errcodes.hpp
[331/1728] Generating include/euler_thread.hpp
[332/1728] Generating include/cspredef.h
[333/1728] Generating include/dimplic.hpp
[334/1728] Generating include/hoc.h
[335/1728] Generating include/euler.hpp
[336/1728] Generating include/hoc_membf.h
[337/1728] Generating include/hocassrt.h
[338/1728] Generating include/hocdec.h
[339/1728] Generating include/hocgetsym.h
[340/1728] Generating include/hoclist.h
[341/1728] Generating include/hocparse.h
[342/1728] Generating include/md1redef.h
[343/1728] Generating include/mcran4.h
[344/1728] Generating include/md2redef.h
[345/1728] Generating include/mech_api.h
[346/1728] Generating include/membfunc.h
[347/1728] Generating include/multicore.h
[348/1728] Generating include/membdef.h
[349/1728] Generating include/multisplit.h
[350/1728] Generating include/neuron.h
[351/1728] Generating include/neuron/cache/mechanism_range.hpp
[352/1728] Generating include/neuron/container/data_handle.hpp
[353/1728] Generating include/neuron/container/generic_data_handle.hpp
[354/1728] Generating include/neuron/container/non_owning_soa_identifier.hpp
[355/1728] Generating include/neuron/model_data_fwd.hpp
[356/1728] Generating include/newton.hpp
[357/1728] Generating include/newton_thread.hpp
[358/1728] Generating include/nmodlmutex.h
[359/1728] Generating include/newton_struct.h
[360/1728] Generating include/nrnapi.h
[361/1728] Generating include/nrncvode.h
[362/1728] Generating include/nrnassrt.h
[363/1728] Generating include/nrniv_mf.h
[364/1728] Generating include/nrn_ansi.h
[365/1728] Generating include/nrnisaac.h
[366/1728] Generating include/nrnmpi.h
[367/1728] Generating include/nrnmpidec.h
[368/1728] Generating include/nrnoc_ml.h
[369/1728] Generating include/nrnredef.h
[370/1728] Generating include/oc_ansi.h
[371/1728] Generating include/ocfunc.h
[372/1728] Generating include/nrnrandom.h
[373/1728] Generating include/nrnran123.h
[374/1728] Generating include/nrnversionmacros.h
[375/1728] Generating include/ocmisc.h
[376/1728] Generating include/options.h
[377/1728] Generating include/runge.hpp
[378/1728] Generating include/parse_with_deps.hpp
[379/1728] Generating include/scoplib.h
[380/1728] Generating include/section_fwd.hpp
[381/1728] Generating include/sparse.hpp
[382/1728] Generating include/row_view.hpp
[383/1728] Generating include/simeq.hpp
[384/1728] Generating include/sparse_thread.hpp
[385/1728] Generating include/spconfig.h
[386/1728] Generating include/spmatrix.h
[387/1728] Generating include/ssimplic.hpp
[388/1728] Generating include/ssimplic_thread.hpp
[389/1728] Generating include/wrap_sprintf.h
[390/1728] Generating include/treeset.h
[391/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/file_utils.cpp.o
[392/1728] Building CXX object src/coreneuron/CMakeFiles/corenrn_mpi.dir/mpi/lib/mpispike.cpp.o
[393/1728] Building CXX object src/coreneuron/CMakeFiles/corenrn_mpi.dir/mpi/lib/nrnmpi.cpp.o
[394/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/mem_layout_util.cpp.o
[395/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/global_vars.cpp.o
[396/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/lfp.cpp.o
[397/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/mech_report.cpp.o
[398/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/gpu/nrn_acc_manager.cpp.o
[399/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/apps/main1.cpp.o
[400/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/nrn_filehandler.cpp.o
[401/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/mk_mech.cpp.o
[402/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/core2nrn_data_return.cpp.o
[403/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/nrn2core_data_init.cpp.o
[404/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/phase1.cpp.o
[405/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/nrn_checkpoint.cpp.o
[406/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/nrnreport.cpp.o
[407/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/output_spikes.cpp.o
[408/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/report_event.cpp.o
[409/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/report_configuration_parser.cpp.o
[410/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/report_handler.cpp.o
[411/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/sonata_report_handler.cpp.o
[412/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/prcellstate.cpp.o
[413/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/capac.cpp.o
[414/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/setup_fornetcon.cpp.o
[415/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mpi/core/nrnmpi_def_cinc.cpp.o
[416/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/eion.cpp.o
[417/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/phase2.cpp.o
[418/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/patternstim.cpp.o
[419/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/mech_mapping.cpp.o
[420/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/cvodestb.cpp.o
[421/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/nrn_setup.cpp.o
[422/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/multisend.cpp.o
[423/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/balance.cpp.o
[424/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/multisend_setup.cpp.o
[425/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/partrans.cpp.o
[426/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/tqueue.cpp.o
[427/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/register_mech.cpp.o
[428/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/netcvode.cpp.o
[429/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/cellorder.cpp.o
[430/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/partrans_setup.cpp.o
[431/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/data_layout.cpp.o
[432/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/cellorder2.cpp.o
[433/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/cellorder1.cpp.o
[434/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/netpar.cpp.o
[435/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/fast_imem.cpp.o
[436/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/fadvance_core.cpp.o
[437/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/scopmath/abort.cpp.o
[438/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/node_permute.cpp.o
[439/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/scopmath/newton_thread.cpp.o
[440/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/ivocvect.cpp.o
[441/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/solve_core.cpp.o
[442/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/finitialize.cpp.o
[443/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/progressbar/progressbar.cpp.o
[444/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/treeset_core.cpp.o
[445/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/string_utils.cpp.o
[446/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/memory.cpp.o
[447/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/lpt.cpp.o
[448/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/multicore.cpp.o
[449/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/nrntimeout.cpp.o
[450/1728] Generating ../../share/coreneuron/enginemech.cpp
[451/1728] Generating ../../share/coreneuron/mod_func.c.pl
[452/1728] Generating ../../share/modfile/exp2syn.mod
[453/1728] Generating ../../share/modfile/expsyn.mod
[454/1728] Generating ../../share/modfile/hh.mod
[455/1728] Generating ../../share/modfile/netstim.mod
[456/1728] Generating ../../share/modfile/passive.mod
[457/1728] Generating ../../share/modfile/pattern.mod
[458/1728] Generating ../../share/modfile/stim.mod
[459/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/memory_utils.cpp.o
[460/1728] Generating ../../share/modfile/svclmp.mod
[461/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/nrn_stats.cpp.o
[462/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/nrnoc_aux.cpp.o
[463/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/__/__/coreneuron/config/config.cpp.o
[464/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/utils.cpp.o
[465/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/randoms/nrnran123.cpp.o
[466/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mpi/core/resolve.cpp.o
[467/1728] Building CXX object external/nmodl/ext/fmt/CMakeFiles/fmt.dir/src/os.cc.o
[468/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/vrecord.cpp.o
[469/1728] -- NMODL : GENERATING AST CLASSES WITH PYTHON GENERATOR! --
[470/1728] Building CXX object external/nmodl/ext/spdlog/CMakeFiles/spdlog.dir/src/file_sinks.cpp.o
[471/1728] -- NMODL : GENERATING VERBATIM PARSER WITH BISON! --
../../../../../external/nmodl/src/parser/verbatim.yy:29.1-24: warning: deprecated directive: '%name-prefix "Verbatim_"', use '%define api.prefix {Verbatim_}' [-Wdeprecated]
29 | %name-prefix "Verbatim_"
| ^~~~~~~~~~~~~~~~~~~~~~~~
| %define api.prefix {Verbatim_}
../../../../../external/nmodl/src/parser/verbatim.yy: warning: fix-its can be applied. Rerun with option '--update'. [-Wother]
[472/1728] Building CXX object external/nmodl/ext/spdlog/CMakeFiles/spdlog.dir/src/stdout_sinks.cpp.o
[473/1728] -- NMODL : GENERATING DIFFERENTIAL EQUATION PARSER WITH BISON! --
../../../../../external/nmodl/src/parser/diffeq.yy:57.1-40: warning: deprecated directive: '%define parser_class_name {DiffeqParser}', use '%define api.parser.class {DiffeqParser}' [-Wdeprecated]
57 | %define parser_class_name {DiffeqParser}
| ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
| %define api.parser.class {DiffeqParser}
../../../../../external/nmodl/src/parser/diffeq.yy: warning: 2 shift/reduce conflicts [-Wconflicts-sr]
../../../../../external/nmodl/src/parser/diffeq.yy: note: rerun with option '-Wcounterexamples' to generate conflict counterexamples
../../../../../external/nmodl/src/parser/diffeq.yy: warning: fix-its can be applied. Rerun with option '--update'. [-Wother]
[474/1728] -- NMODL : GENERATING UNIT PARSER WITH BISON! --
../../../../../external/nmodl/src/parser/unit.yy:49.1-38: warning: deprecated directive: '%define parser_class_name {UnitParser}', use '%define api.parser.class {UnitParser}' [-Wdeprecated]
49 | %define parser_class_name {UnitParser}
| ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
| %define api.parser.class {UnitParser}
../../../../../external/nmodl/src/parser/unit.yy: warning: fix-its can be applied. Rerun with option '--update'. [-Wother]
[475/1728] Building CXX object external/nmodl/ext/spdlog/CMakeFiles/spdlog.dir/src/color_sinks.cpp.o
[476/1728] Building CXX object external/nmodl/ext/spdlog/CMakeFiles/spdlog.dir/src/cfg.cpp.o
[477/1728] Building CXX object external/nmodl/src/printer/CMakeFiles/printer.dir/code_printer.cpp.o
[478/1728] -- NMODL : GENERATING C (11) PARSER WITH BISON! --
../../../../../external/nmodl/src/parser/c11.yy:52.1-35: warning: deprecated directive: '%define parser_class_name {CParser}', use '%define api.parser.class {CParser}' [-Wdeprecated]
52 | %define parser_class_name {CParser}
| ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
| %define api.parser.class {CParser}
../../../../../external/nmodl/src/parser/c11.yy: warning: fix-its can be applied. Rerun with option '--update'. [-Wother]
[479/1728] Building CXX object external/nmodl/ext/spdlog/CMakeFiles/spdlog.dir/src/async.cpp.o
[480/1728] Building CXX object external/nmodl/ext/fmt/CMakeFiles/fmt.dir/src/format.cc.o
[481/1728] Building CXX object external/nmodl/src/printer/CMakeFiles/printer.dir/nmodl_printer.cpp.o
[482/1728] Linking CXX static library lib/libfmt.a
[483/1728] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/common_utils.cpp.o
[484/1728] -- NMODL : GENERATING NMODL_CORE PARSER WITH BISON! --
../../../../../external/nmodl/src/parser/nmodl.yy:65.1-39: warning: deprecated directive: '%define parser_class_name {NmodlParser}', use '%define api.parser.class {NmodlParser}' [-Wdeprecated]
65 | %define parser_class_name {NmodlParser}
| ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
| %define api.parser.class {NmodlParser}
../../../../../external/nmodl/src/parser/nmodl.yy: warning: 3 shift/reduce conflicts [-Wconflicts-sr]
../../../../../external/nmodl/src/parser/nmodl.yy: note: rerun with option '-Wcounterexamples' to generate conflict counterexamples
../../../../../external/nmodl/src/parser/nmodl.yy: warning: fix-its can be applied. Rerun with option '--update'. [-Wother]
[485/1728] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/file_library.cpp.o
[486/1728] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/perf_stat.cpp.o
[487/1728] -- COPYING NMODL PYTHON FILES --
[488/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/ACG.cpp.o
[489/1728] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/string_utils.cpp.o
[490/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Binomial.cpp.o
[491/1728] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/__/config/config.cpp.o
[492/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/DiscUnif.cpp.o
[493/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Erlang.cpp.o
[494/1728] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/table_data.cpp.o
[495/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Geom.cpp.o
[496/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/HypGeom.cpp.o
[497/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/LogNorm.cpp.o
[498/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/MLCG.cpp.o
[499/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/NegExp.cpp.o
[500/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Normal.cpp.o
[501/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Poisson.cpp.o
[502/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Random.cpp.o
[503/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/RNG.cpp.o
[504/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/RndInt.cpp.o
[505/1728] [FLEX][modlunitlexer] Building scanner with flex 2.6.4
[506/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Uniform.cpp.o
[507/1728] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Weibull.cpp.o
[508/1728] [FLEX][nocmodllexer] Building scanner with flex 2.6.4
[509/1728] [BISON][nocmodlparser] Building parser with bison 3.8.2
../src/nmodl/diffeq.ypp: warning: 5 shift/reduce conflicts [-Wconflicts-sr]
../src/nmodl/diffeq.ypp: note: rerun with option '-Wcounterexamples' to generate conflict counterexamples
[510/1728] Linking CXX static library lib/libnrngnu.a
[511/1728] [BISON][modlunitparser] Building parser with bison 3.8.2
[512/1728] [BISON][ocparser] Building parser with bison 3.8.2
../src/oc/parse.ypp: warning: 96 shift/reduce conflicts [-Wconflicts-sr]
../src/oc/parse.ypp: note: rerun with option '-Wcounterexamples' to generate conflict counterexamples
[513/1728] [BISON][nocmodlparser] Building parser with bison 3.8.2
../src/nmodl/parse1.ypp: warning: 3 shift/reduce conflicts [-Wconflicts-sr]
../src/nmodl/parse1.ypp: note: rerun with option '-Wcounterexamples' to generate conflict counterexamples
[514/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/consist.cpp.o
[515/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/declare.cpp.o
[516/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/init.cpp.o
[517/1728] cd /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/src/nrnoc && /opt/homebrew/Cellar/cmake/3.24.2/bin/cmake -E env PROJECT_VERSION=9.0.0 bash /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/git2nrnversion_h.sh /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn > nrnversion.h.tmp && /opt/homebrew/Cellar/cmake/3.24.2/bin/cmake -E copy_if_different nrnversion.h.tmp nrnversion.h
fatal: No names found, cannot describe anything.
[518/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/io.cpp.o
[519/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/kinunit.cpp.o
[520/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/list.cpp.o
[521/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/nrnunit.cpp.o
[522/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/model.cpp.o
[523/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/passn.cpp.o
[524/1728] Building CXX object external/nmodl/src/printer/CMakeFiles/printer.dir/json_printer.cpp.o
[525/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/symbol.cpp.o
[526/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/units1.cpp.o
[527/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/units.cpp.o
[528/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/version.cpp.o
[529/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/modlunit_generated/lex.cpp.o
[530/1728] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/modlunit_generated/parse1.cpp.o
[531/1728] Linking CXX executable bin/modlunit
[532/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/consist.cpp.o
[533/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/discrete.cpp.o
[534/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/init.cpp.o
[535/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/deriv.cpp.o
[536/1728] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/apps/corenrn_parameters.cpp.o
[537/1728] Building CXX object external/nmodl/ext/spdlog/CMakeFiles/spdlog.dir/src/spdlog.cpp.o
[538/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/io.cpp.o
[539/1728] Linking CXX static library lib/libspdlog.a
[540/1728] Linking CXX static library lib/libcoreneuron-core.a
[541/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/modl.cpp.o
[542/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/list.cpp.o
[543/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/kinetic.cpp.o
[544/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/noccout.cpp.o
[545/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/parsact.cpp.o
[546/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/netrec_discon.cpp.o
[547/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/solve.cpp.o
[548/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/simultan.cpp.o
[549/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/symbol.cpp.o
[550/1728] Generating ../oc/hocusr.h
[551/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/nocpout.cpp.o
[552/1728] Generating coreneuron_standalone/test_nrn_corenrn_standalone/test/coreneuron/test_psolve.py
[553/1728] Generating nrniv_script.py/do_nothing.py
[554/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/units.cpp.o
[555/1728] Generating nrniv_script.py_error/assert_false.py
[556/1728] Generating nrniv_two_scripts.py/do_nothing.py
[557/1728] Generating nrniv_two_scripts.py_error_check_code/assert_false.py
[558/1728] Generating nrniv_two_scripts.py_error_check_code/do_nothing.py
[559/1728] Generating nrniv_two_scripts.py_error_check_output/assert_false.py
[560/1728] Generating nrniv_two_scripts.py_error_check_output/do_nothing.py
[561/1728] Generating nrniv_python_script.py/do_nothing.py
[562/1728] Building CXX object src/nrnpython/CMakeFiles/rxdmath.dir/rxdmath.cpp.o
[563/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/version.cpp.o
[564/1728] Generating nrniv_python_script.py_error/assert_false.py
[565/1728] Generating nrniv_python_script.py_twice/assert_false.py
[566/1728] Generating nrniv_python_script.py_twice/do_nothing.py
[567/1728] Generating nrniv_python_script.py_args/assert_last_args_are_foo_and_bar.py
[568/1728] Generating nrniv_py3.10_python_check_sys_path/check_sys_attr.py
[569/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/nocmodl_generated/lex.cpp.o
[570/1728] Generating nrniv_py3.10_nrnpython_check_sys_path/check_sys_attr.hoc
[571/1728] Generating nrniv_py3.10_python_check_sys_path/dump_sys_attr.py
[572/1728] Generating nrniv_py3.10_nrnpython_check_sys_path/dump_sys_attr.py
[573/1728] Generating nrniv_py3.10_python_check_sys_prefix/dump_sys_attr.py
[574/1728] Generating nrniv_py3.10_python_check_sys_prefix/check_sys_attr.py
[575/1728] Generating nrniv_py3.10_nrnpython_check_sys_prefix/check_sys_attr.hoc
[576/1728] Generating nrniv_py3.10_nrnpython_check_sys_prefix/dump_sys_attr.py
[577/1728] Generating nrniv_py3.10_python_check_sys_exec_prefix/check_sys_attr.py
[578/1728] Generating nrniv_py3.10_python_check_sys_exec_prefix/dump_sys_attr.py
[579/1728] Generating nrniv_py3.10_nrnpython_check_sys_exec_prefix/check_sys_attr.hoc
[580/1728] Linking CXX shared library lib/librxdmath.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
[581/1728] Generating nrniv_py3.10_nrnpython_check_sys_exec_prefix/dump_sys_attr.py
[582/1728] Generating nrniv_py3.10_python_check_sys_base_prefix/check_sys_attr.py
[583/1728] Generating nrniv_py3.10_python_check_sys_base_prefix/dump_sys_attr.py
[584/1728] Generating nrniv_py3.10_nrnpython_check_sys_base_prefix/check_sys_attr.hoc
[585/1728] Generating nrniv_py3.10_nrnpython_check_sys_base_prefix/dump_sys_attr.py
[586/1728] Generating nrniv_py3.10_python_check_sys_base_exec_prefix/check_sys_attr.py
[587/1728] Generating nrniv_py3.10_python_check_sys_base_exec_prefix/dump_sys_attr.py
[588/1728] Generating nrniv_py3.10_nrnpython_check_sys_base_exec_prefix/check_sys_attr.hoc
[589/1728] Generating nrniv_py3.10_nrnpython_check_sys_base_exec_prefix/dump_sys_attr.py
[590/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/nocmodl_generated/diffeq.cpp.o
[591/1728] Generating nrniv_py3.10_python_check_sys_stderr.encoding/dump_sys_attr.py
[592/1728] Generating nrniv_py3.10_python_check_sys_stderr.encoding/check_sys_attr.py
[593/1728] Generating nrniv_py3.10_nrnpython_check_sys_stderr.encoding/check_sys_attr.hoc
[594/1728] Generating nrniv_py3.10_nrnpython_check_sys_stderr.encoding/dump_sys_attr.py
[595/1728] Generating nrniv_py3.10_python_check_sys_stdin.encoding/check_sys_attr.py
[596/1728] Generating nrniv_py3.10_python_check_sys_stdin.encoding/dump_sys_attr.py
[597/1728] Generating nrniv_py3.10_nrnpython_check_sys_stdin.encoding/dump_sys_attr.py
[598/1728] Generating nrniv_py3.10_nrnpython_check_sys_stdin.encoding/check_sys_attr.hoc
[599/1728] Generating nrniv_py3.10_python_check_sys_stdout.encoding/dump_sys_attr.py
[600/1728] Generating nrniv_py3.10_nrnpython_check_sys_stdout.encoding/dump_sys_attr.py
[601/1728] Generating nrniv_py3.10_python_check_sys_stdout.encoding/check_sys_attr.py
[602/1728] Generating nrniv_pydef_python_check_sys_path/check_sys_attr.py
[603/1728] Generating nrniv_pydef_python_check_sys_path/dump_sys_attr.py
[604/1728] Generating nrniv_py3.10_nrnpython_check_sys_stdout.encoding/check_sys_attr.hoc
[605/1728] Generating nrniv_pydef_python_check_sys_prefix/check_sys_attr.py
[606/1728] Generating nrniv_pydef_nrnpython_check_sys_path/dump_sys_attr.py
[607/1728] Generating nrniv_pydef_nrnpython_check_sys_path/check_sys_attr.hoc
[608/1728] Generating nrniv_pydef_python_check_sys_prefix/dump_sys_attr.py
[609/1728] Generating nrniv_pydef_nrnpython_check_sys_prefix/check_sys_attr.hoc
[610/1728] Generating nrniv_pydef_python_check_sys_exec_prefix/check_sys_attr.py
[611/1728] Generating nrniv_pydef_nrnpython_check_sys_prefix/dump_sys_attr.py
[612/1728] Generating nrniv_pydef_nrnpython_check_sys_exec_prefix/check_sys_attr.hoc
[613/1728] Generating nrniv_pydef_python_check_sys_exec_prefix/dump_sys_attr.py
[614/1728] Generating nrniv_pydef_python_check_sys_base_prefix/check_sys_attr.py
[615/1728] Generating nrniv_pydef_python_check_sys_base_prefix/dump_sys_attr.py
[616/1728] Generating nrniv_pydef_nrnpython_check_sys_base_prefix/check_sys_attr.hoc
[617/1728] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/nocmodl_generated/parse1.cpp.o
[618/1728] Generating nrniv_pydef_nrnpython_check_sys_exec_prefix/dump_sys_attr.py
[619/1728] Generating nrniv_pydef_nrnpython_check_sys_base_prefix/dump_sys_attr.py
[620/1728] Generating nrniv_pydef_python_check_sys_base_exec_prefix/check_sys_attr.py
[621/1728] Generating nrniv_pydef_nrnpython_check_sys_base_exec_prefix/dump_sys_attr.py
[622/1728] Generating nrniv_pydef_nrnpython_check_sys_base_exec_prefix/check_sys_attr.hoc
[623/1728] Generating nrniv_pydef_python_check_sys_base_exec_prefix/dump_sys_attr.py
[624/1728] Building CXX object external/nmodl/src/pybind/CMakeFiles/pyembed.dir/pyembed.cpp.o
[625/1728] Generating nrniv_pydef_python_check_sys_stderr.encoding/dump_sys_attr.py
[626/1728] Generating nrniv_pydef_nrnpython_check_sys_stderr.encoding/dump_sys_attr.py
[627/1728] Generating nrniv_pydef_python_check_sys_stderr.encoding/check_sys_attr.py
[628/1728] Generating nrniv_pydef_nrnpython_check_sys_stderr.encoding/check_sys_attr.hoc
[629/1728] Generating nrniv_pydef_python_check_sys_stdin.encoding/check_sys_attr.py
[630/1728] Generating nrniv_pydef_python_check_sys_stdin.encoding/dump_sys_attr.py
[631/1728] Generating nrniv_pydef_nrnpython_check_sys_stdin.encoding/check_sys_attr.hoc
[632/1728] Generating nrniv_pydef_nrnpython_check_sys_stdin.encoding/dump_sys_attr.py
[633/1728] Generating nrniv_pydef_python_check_sys_stdout.encoding/dump_sys_attr.py
[634/1728] Generating nrniv_pydef_python_check_sys_stdout.encoding/check_sys_attr.py
[635/1728] Generating ../tests/ringtest/reference_data/spk1.100ms.std.ref
[636/1728] Generating nrniv_pydef_nrnpython_check_sys_stdout.encoding/dump_sys_attr.py
[637/1728] Generating ../../compare_test_results.py
[638/1728] Generating nrniv_pydef_nrnpython_check_sys_stdout.encoding/check_sys_attr.hoc
[639/1728] Generating ../tests/testcorenrn/reference/out_bbcore.spk
[640/1728] Generating ../tests/testcorenrn/reference/out_deriv.spk
[641/1728] Generating ../tests/testcorenrn/reference/out_conc.spk
[642/1728] Generating ../tests/testcorenrn/reference/out_gf.spk
[643/1728] Generating ../tests/testcorenrn/reference/out_kin.spk
[644/1728] Generating ../tests/testcorenrn/reference/out_patstim.spk
[645/1728] Generating ../tests/testcorenrn/reference/out_vecplay.spk
[646/1728] Generating ../tests/testcorenrn/reference/out_vecevent.spk
[647/1728] Generating ../tests/testcorenrn/reference/out_netstimdirect.spk
[648/1728] Generating ../tests/testcorenrn/reference/out_watch.spk
[649/1728] Linking CXX executable bin/nocmodl
[650/1728] Building CXX object external/nmodl/src/pybind/CMakeFiles/pywrapper.dir/wrapper.cpp.o
[651/1728] Linking CXX static library lib/libpywrapper.a
[652/1728] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/logger.cpp.o
[653/1728] Linking CXX static library lib/libutil.a
[654/1728] -- NMODL : GENERATING NMODL LEXER WITH FLEX! --
../../../../../external/nmodl/src/lexer/nmodl.ll:506: warning, -s option given but default rule can be matched
[655/1728] -- NMODL : GENERATING VERBATIM LEXER WITH FLEX! --
[656/1728] -- NMODL : GENERATING DIFFERENTIAL EQUATION LEXER WITH FLEX! --
../../../../../external/nmodl/src/lexer/diffeq.ll:103: warning, -s option given but default rule can be matched
[657/1728] Generating ../nrnoc/intfire1.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/intfire1.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/intfire1.cpp
Thread Safe
[658/1728] Generating ../nrnoc/hh.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/hh.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/hh.cpp
Thread Safe
[659/1728] -- NMODL : GENERATING C(11) LEXER WITH FLEX! --
[660/1728] Generating ../nrnoc/feature.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/feature.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/feature.cpp
Notice: VERBATIM blocks are not thread safe
[661/1728] Generating ../nrnoc/exp2syn.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/exp2syn.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/exp2syn.cpp
Thread Safe
[662/1728] Generating ../nrnoc/apcount.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/apcount.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/apcount.cpp
Thread Safe
[663/1728] Generating ../nrnoc/intfire4.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/intfire4.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/intfire4.cpp
Thread Safe
[664/1728] Generating ../nrnoc/intfire2.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/intfire2.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/intfire2.cpp
Thread Safe
[665/1728] Generating ../nrnoc/netstim.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/netstim.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/netstim.cpp
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
[666/1728] Generating ../nrnoc/expsyn.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/expsyn.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/expsyn.cpp
Thread Safe
[667/1728] -- NMODL : GENERATING UNIT LEXER WITH FLEX! --
../../../../../external/nmodl/src/lexer/unit.ll:171: warning, -s option given but default rule can be matched
[668/1728] Linking CXX static library lib/libpyembed.a
[669/1728] Generating ../nrnoc/oclmp.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/oclmp.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/oclmp.cpp
Thread Safe
[670/1728] Generating ../nrnoc/ppmark.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/ppmark.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/ppmark.cpp
Thread Safe
[671/1728] Generating ../nrnoc/svclmp.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/svclmp.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/svclmp.cpp
Thread Safe
[672/1728] Generating ../nrnoc/stim.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/stim.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/stim.cpp
Thread Safe
[673/1728] Generating ../nrnoc/pattern.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/pattern.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/pattern.cpp
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
[674/1728] Generating ../nrnoc/passive.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/passive.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/passive.cpp
Thread Safe
[675/1728] Generating ../nrnoc/vclmp.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/vclmp.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/vclmp.cpp
Notice: VERBATIM blocks are not thread safe
Notice: LINEAR is not thread safe.
[676/1728] Generating ../nrnoc/syn.cpp
Translating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/syn.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/src/nrnoc/syn.cpp
Thread Safe
[677/1728] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_transform_visitor.cpp.o
[678/1728] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_info.cpp.o
[679/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/modtoken.cpp.o
[680/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/token_mapping.cpp.o
[681/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/nmodl_utils.cpp.o
[682/1728] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_utils.cpp.o
[683/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/verbatim_lexer.cpp.o
[684/1728] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_acc_visitor.cpp.o
[685/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/diffeq_base_lexer.cpp.o
[686/1728] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_compatibility_visitor.cpp.o
[687/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/c11_base_lexer.cpp.o
[688/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/unit_base_lexer.cpp.o
[689/1728] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_helper_visitor.cpp.o
[690/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/diffeq_driver.cpp.o
[691/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/diffeq_context.cpp.o
[692/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/nmodl_base_lexer.cpp.o
[693/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/verbatim_parser.cpp.o
[694/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/c11_driver.cpp.o
[695/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/unit_driver.cpp.o
[696/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/c/c11_parser.cpp.o
[697/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/diffeq/diffeq_parser.cpp.o
[698/1728] Building CXX object external/nmodl/src/symtab/CMakeFiles/symtab.dir/symbol_properties.cpp.o
[699/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/unit/unit_parser.cpp.o
[700/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/nmodl_driver.cpp.o
[701/1728] Building CXX object external/nmodl/src/symtab/CMakeFiles/symtab.dir/symbol.cpp.o
[702/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/units/units.cpp.o
[703/1728] Building CXX object external/nmodl/src/symtab/CMakeFiles/symtab.dir/symbol_table.cpp.o
[704/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/global_var_visitor.cpp.o
[705/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/implicit_argument_visitor.cpp.o
[706/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/indexedname_visitor.cpp.o
[707/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/after_cvode_to_cnexp_visitor.cpp.o
[708/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/constant_folder_visitor.cpp.o
[709/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/local_to_assigned_visitor.cpp.o
[710/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/nmodl/nmodl_parser.cpp.o
[711/1728] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_cpp_visitor.cpp.o
[712/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/defuse_analyze_visitor.cpp.o
[713/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/local_var_rename_visitor.cpp.o
[714/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/kinetic_block_visitor.cpp.o
[715/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/perf_visitor.cpp.o
[716/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/loop_unroll_visitor.cpp.o
[717/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/inline_visitor.cpp.o
[718/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/localize_visitor.cpp.o
[719/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/neuron_solve_visitor.cpp.o
[720/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/semantic_analysis_visitor.cpp.o
[721/1728] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/ast/ast.cpp.o
[722/1728] Linking CXX static library lib/liblexer.a
[723/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/solve_block_visitor.cpp.o
[724/1728] Linking CXX static library lib/libsymtab.a
[725/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/rename_visitor.cpp.o
[726/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/var_usage_visitor.cpp.o
[727/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/verbatim_visitor.cpp.o
[728/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/units_visitor.cpp.o
[729/1728] Building CXX object external/nmodl/src/CMakeFiles/nmodl.dir/main.cpp.o
[730/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/ast_visitor.cpp.o
[731/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/steadystate_visitor.cpp.o
[732/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/checkparent_visitor.cpp.o
[733/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/lookup_visitor.cpp.o
[734/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/verbatim_var_rename_visitor.cpp.o
[735/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/json_visitor.cpp.o
[736/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/sympy_conductance_visitor.cpp.o
[737/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/visitor_utils.cpp.o
[738/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/sympy_replace_solutions_visitor.cpp.o
[739/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/nmodl_visitor.cpp.o
[740/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/apwindow.cpp.o
[741/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/axis.cpp.o
[742/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/bndedval.cpp.o
[743/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/cbwidget.cpp.o
[744/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/symtab_visitor.cpp.o
[745/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/checkpnt.cpp.o
[746/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/epsprint.cpp.o
[747/1728] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/sympy_solver_visitor.cpp.o
[748/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/gifimage.cpp.o
[749/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/fourier.cpp.o
[750/1728] Linking CXX static library lib/libvisitor.a
[751/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/graph.cpp.o
[752/1728] Linking CXX static library lib/libcodegen.a
[753/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/graphvec.cpp.o
[754/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/grglyph.cpp.o
[755/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/grmanip.cpp.o
[756/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/hocmark.cpp.o
[757/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/htlist.cpp.o
[758/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/idraw.cpp.o
[759/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ivocmain.cpp.o
[760/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ivocrand.cpp.o
[761/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/matrix.cpp.o
[762/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ivoc.cpp.o
[763/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/mlinedit.cpp.o
[764/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ivocvect.cpp.o
[765/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/mymath.cpp.o
[766/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/objcmd.cpp.o
[767/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/oc2iv.cpp.o
[768/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocbrowsr.cpp.o
[769/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocbox.cpp.o
[770/1728] Building CXX object external/nmodl/src/pybind/CMakeFiles/_nmodl.dir/pynmodl.cpp.o
[771/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocdeck.cpp.o
[772/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ochelp.cpp.o
[773/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocfile.cpp.o
[774/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocnoiv1.cpp.o
[775/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/oclist.cpp.o
[776/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocobserv.cpp.o
[777/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocpicker.cpp.o
[778/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocmatrix.cpp.o
[779/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/rect.cpp.o
[780/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/octimer.cpp.o
[781/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/pwman.cpp.o
[782/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocpointer.cpp.o
[783/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/rubband.cpp.o
[784/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/scene.cpp.o
[785/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocptrvector.cpp.o
[786/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/scenepic.cpp.o
[787/1728] Building CXX object external/nmodl/src/pybind/CMakeFiles/_nmodl.dir/pyast.cpp.o
[788/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/utility.cpp.o
[789/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/symchoos.cpp.o
[790/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/xmenu.cpp.o
[791/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/xyview.cpp.o
[792/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/field.cpp.o
[793/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/xdep.cpp.o
[794/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/strfun.cpp.o
[795/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/cvodestb.cpp.o
[796/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/cvtrset.cpp.o
[797/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/cvodeobj.cpp.o
[798/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/nrndaspk.cpp.o
[799/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/tqueue.cpp.o
[800/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbs.cpp.o
[801/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/occvode.cpp.o
[802/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbsdirect.cpp.o
[803/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbslocal.cpp.o
[804/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbslsrv.cpp.o
[805/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/backtrace_utils.cpp.o
[806/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbsrcli.cpp.o
[807/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbssrv.cpp.o
[808/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/classreg.cpp.o
[809/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbslsrv2.cpp.o
[810/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/geometry3d.cpp.o
[811/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/cxprop.cpp.o
[812/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/finithnd.cpp.o
[813/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/datapath.cpp.o
[814/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/glinerec.cpp.o
[815/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/hocmech.cpp.o
[816/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbsavestate.cpp.o
[817/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/impedanc.cpp.o
[818/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/linmod.cpp.o
[819/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/linmod1.cpp.o
[820/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/netcvode.cpp.o
[821/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/kssingle.cpp.o
[822/1728] Building CXX object external/nmodl/src/pybind/CMakeFiles/_nmodl.dir/pysymtab.cpp.o
[823/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/matrixmap.cpp.o
[824/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/ndatclas.cpp.o
[825/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nonlinz.cpp.o
[826/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write.cpp.o
[827/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/kschan.cpp.o
[828/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/data/datum_indices.cpp.o
[829/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/netpar.cpp.o
[830/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/multisplit.cpp.o
[831/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrndae.cpp.o
[832/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/io/nrncore_io.cpp.o
[833/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/data/cell_group.cpp.o
[834/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/utils/nrncore_utils.cpp.o
[835/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrnpy.cpp.o
[836/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/callbacks/nrncore_callbacks.cpp.o
[837/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrnmenu.cpp.o
[838/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nvector_nrnserial_ld.cpp.o
[839/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nvector_nrnthread.cpp.o
[840/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrnste.cpp.o
[841/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nvector_nrnthread_ld.cpp.o
[842/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/ocjump.cpp.o
[843/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/rotate3d.cpp.o
[844/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/ppshape.cpp.o
[845/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/ocbbs.cpp.o
[846/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/shape.cpp.o
[847/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/shapeplt.cpp.o
[848/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/pysecname2sec.cpp.o
[849/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/prcellstate.cpp.o
[850/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/secbrows.cpp.o
[851/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/savstate.cpp.o
[852/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/splitcell.cpp.o
[853/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/symdir.cpp.o
[854/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/partrans.cpp.o
[855/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/vrecord.cpp.o
[856/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/spaceplt.cpp.o
[857/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/capac.cpp.o
[858/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/clamp.cpp.o
[859/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/fstim.cpp.o
[860/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/cabcode.cpp.o
[861/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/eion.cpp.o
[862/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/hocprax.cpp.o
[863/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/extcelln.cpp.o
[864/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/fadvance.cpp.o
[865/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/container.cpp.o
[866/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/nrnnemo.cpp.o
[867/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/membfunc.cpp.o
[868/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/memblist.cpp.o
[869/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/ldifus.cpp.o
[870/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/nrntimeout.cpp.o
[871/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/nrnversion.cpp.o
[872/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/passive0.cpp.o
[873/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/seclist.cpp.o
[874/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/psection.cpp.o
[875/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/secref.cpp.o
[876/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/point.cpp.o
[877/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/init.cpp.o
[878/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/isaac64.cpp.o
[879/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/synapse.cpp.o
[880/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/nrnisaac.cpp.o
[881/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/mcran4.cpp.o
[882/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/audit.cpp.o
[883/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/nrnran123.cpp.o
[884/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/solve.cpp.o
[885/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/axis.cpp.o
[886/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/debug.cpp.o
[887/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/multicore.cpp.o
[888/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/code2.cpp.o
[889/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/fileio.cpp.o
[890/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/fmenu.cpp.o
[891/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/ftime.cpp.o
[892/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/getsym.cpp.o
[893/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/functabl.cpp.o
[894/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/hocusr.cpp.o
[895/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/hoc_init.cpp.o
[896/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/hoc.cpp.o
[897/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/list.cpp.o
[898/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/treeset.cpp.o
[899/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/mswinprt.cpp.o
[900/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/math.cpp.o
[901/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/ocerf.cpp.o
[902/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/nonlin.cpp.o
[903/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/plot.cpp.o
[904/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/plt.cpp.o
[905/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/regexp.cpp.o
[906/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/scoprand.cpp.o
[907/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/version.cpp.o
[908/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/hoc_oop.cpp.o
[909/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/arnoldi.c.o
[910/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/settext.cpp.o
[911/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/x.cpp.o
[912/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/symbol.cpp.o
[913/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/code.cpp.o
[914/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/bkpfacto.c.o
[915/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/chfactor.c.o
[916/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/bdfactor.c.o
[917/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/dmacheps.c.o
[918/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/copy.c.o
[919/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/conjgrad.c.o
[920/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/err.c.o
[921/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/xred.cpp.o
[922/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/fft.c.o
[923/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/givens.c.o
[924/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/extras.c.o
[925/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/hessen.c.o
[926/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/hsehldr.c.o
[927/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/init.c.o
[928/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/iter0.c.o
[929/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/ivecop.c.o
[930/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/itersym.c.o
[931/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/lanczos.c.o
[932/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/lufactor.c.o
[933/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/machine.c.o
[934/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/oc_generated/parse.cpp.o
[935/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/matlab.c.o
[936/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/iternsym.c.o
[937/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/meminfo.c.o
[938/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/matop.c.o
[939/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/matrixio.c.o
[940/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/memory.c.o
[941/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/memstat.c.o
[942/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/otherio.c.o
[943/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/norm.c.o
[944/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/mfunc.c.o
[945/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/pxop.c.o
[946/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/solve.c.o
[947/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/qrfactor.c.o
[948/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/schur.c.o
[949/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/sparseio.c.o
[950/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/spchfctr.c.o
[951/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/sparse.c.o
[952/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/submat.c.o
[953/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/splufctr.c.o
[954/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/spswap.c.o
[955/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/sprow.c.o
[956/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/symmeig.c.o
[957/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/version.c.o
[958/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/update.c.o
[959/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/svd.c.o
[960/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zcopy.c.o
[961/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/vecop.c.o
[962/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zfunc.c.o
[963/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zgivens.c.o
[964/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zhessen.c.o
[965/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zhsehldr.c.o
[966/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zmachine.c.o
[967/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zlufctr.c.o
[968/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zmatlab.c.o
[969/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zmatio.c.o
[970/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zmemory.c.o
[971/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/spbkp.c.o
[972/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/znorm.c.o
[973/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zmatop.c.o
[974/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zschur.c.o
[975/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zsolve.c.o
[976/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zqrfctr.c.o
[977/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/mesch/zvecop.c.o
[978/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/feature.cpp.o
[979/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/apcount.cpp.o
[980/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/intfire1.cpp.o
[981/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/expsyn.cpp.o
[982/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/exp2syn.cpp.o
[983/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/intfire2.cpp.o
[984/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/hh.cpp.o
[985/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/passive.cpp.o
[986/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/intfire4.cpp.o
[987/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/oclmp.cpp.o
[988/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/ppmark.cpp.o
[989/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/pattern.cpp.o
[990/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/netstim.cpp.o
[991/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/stim.cpp.o
[992/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/abort.cpp.o
[993/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/advance.cpp.o
[994/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/boundary.cpp.o
[995/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/crank.cpp.o
[996/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/svclmp.cpp.o
[997/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/syn.cpp.o
[998/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/scoperf.cpp.o
[999/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/vclmp.cpp.o
[1000/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/f2cmisc.cpp.o
[1001/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/expfit.cpp.o
[1002/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/exprand.cpp.o
[1003/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/gauss.cpp.o
[1004/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/factoria.cpp.o
[1005/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/force.cpp.o
[1006/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/getmem.cpp.o
[1007/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/hyperbol.cpp.o
[1008/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/invert.cpp.o
[1009/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/harmonic.cpp.o
[1010/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/lag.cpp.o
[1011/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/legendre.cpp.o
[1012/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/normrand.cpp.o
[1013/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/perpulse.cpp.o
[1014/1728] Building CXX object external/nmodl/src/pybind/CMakeFiles/_nmodl.dir/pynode_0.cpp.o
[1015/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/perstep.cpp.o
[1016/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/poisrand.cpp.o
[1017/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/poisson.cpp.o
[1018/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/pulse.cpp.o
[1019/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/ramp.cpp.o
[1020/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/revhyper.cpp.o
[1021/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/revsawto.cpp.o
[1022/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/praxis.cpp.o
[1023/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/sawtooth.cpp.o
[1024/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/revsigmo.cpp.o
[1025/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/romberg.cpp.o
[1026/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/sigmoid.cpp.o
[1027/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/bksub.cpp.o
[1028/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/spline.cpp.o
[1029/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/squarewa.cpp.o
[1030/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/step.cpp.o
[1031/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/threshol.cpp.o
[1032/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/tridiag.cpp.o
[1033/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/getelm.cpp.o
[1034/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/lineq.cpp.o
[1035/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/prmat.cpp.o
[1036/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/subrows.cpp.o
[1037/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/spoutput.cpp.o
[1038/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/spbuild.cpp.o
[1039/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/spalloc.cpp.o
[1040/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/spsolve.cpp.o
[1041/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/cspalloc.cpp.o
[1042/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/spfactor.cpp.o
[1043/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/cspbuild.cpp.o
[1044/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/sputils.cpp.o
[1045/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvband.c.o
[1046/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvbandpre.c.o
[1047/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvbbdpre.c.o
[1048/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/cspoutput.cpp.o
[1049/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/cspsolve.cpp.o
[1050/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvdense.c.o
[1051/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvdiag.c.o
[1052/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/cspfactor.cpp.o
[1053/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvspgmr.c.o
[1054/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvodesio.c.o
[1055/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idaband.c.o
[1056/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idabbdpre.c.o
[1057/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvodea.c.o
[1058/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idadense.c.o
[1059/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse13/csputils.cpp.o
[1060/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idaio.c.o
[1061/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idaic.c.o
[1062/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idaspgmr.c.o
[1063/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/band.c.o
[1064/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/dense.c.o
[1065/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/nvector.c.o
[1066/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/iterative.c.o
[1067/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/smalldense.c.o
[1068/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/spgmr.c.o
[1069/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/sundialsmath.c.o
[1070/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/nvector_serial.c.o
[1071/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/ida.c.o
[1072/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/nvector_parallel.c.o
[1073/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnmpi/nrnmpi.cpp.o
[1074/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nvector_nrnparallel_ld.cpp.o
[1075/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnmpi/bbsmpipack.cpp.o
[1076/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnmpi/mpispike.cpp.o
[1077/1728] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvodes.c.o
[1078/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/nrnpython.cpp.o
[1079/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/nrnpy_p2h.cpp.o
[1080/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_marching_cubes.cpp.o
[1081/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_extracellular.cpp.o
[1082/1728] Building CXX object external/nmodl/src/pybind/CMakeFiles/_nmodl.dir/pynode_1.cpp.o
[1083/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_llgramarea.cpp.o
[1084/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/grids.cpp.o
[1085/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/nrnpy_nrn.cpp.o
[1086/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_intracellular.cpp.o
[1087/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivos/listimpl.cpp.o
[1088/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/nrnpy_hoc.cpp.o
[1089/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivos/observe.cpp.o
[1090/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivos/string.cpp.o
[1091/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivos/resource.cpp.o
[1092/1728] Building CXX object src/nrniv/CMakeFiles/nrniv.dir/__/ivoc/nrnmain.cpp.o
[1093/1728] Building CXX object src/nrniv/CMakeFiles/nrniv.dir/__/oc/modlreg.cpp.o
[1094/1728] Building CXX object src/nrniv/CMakeFiles/nrniv.dir/__/oc/ockludge.cpp.o
[1095/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivos/regexp.cpp.o
[1096/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_vol.cpp.o
[1097/1728] Building CXX object external/nmodl/src/pybind/CMakeFiles/_nmodl.dir/pyvisitor.cpp.o
[1098/1728] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd.cpp.o
[1099/1728] Linking CXX shared library lib/libnrniv.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
[1100/1728] Linking CXX executable bin/nrniv
[1101/1728] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/basic.cpp.o
[1102/1728] Linking CXX shared module lib/nmodl/_nmodl.cpython-310-darwin.so
ld: warning: -undefined dynamic_lookup may not work with chained fixups
[1103/1728] Generating inithoc.cpp
[1104/1728] Linking CXX executable bin/nmodl
[1105/1728] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/container/container.cpp.o
[1106/1728] Generating nrnivmodl/d625e9e489d98d3dd35f8589f9bf50f428f7f90d24be979068bb87813edcfebe/follower.mod
[1107/1728] Generating nrnivmodl/d625e9e489d98d3dd35f8589f9bf50f428f7f90d24be979068bb87813edcfebe/gap.mod
[1108/1728] Generating nrnivmodl/d625e9e489d98d3dd35f8589f9bf50f428f7f90d24be979068bb87813edcfebe/name_clashes.mod
[1109/1728] Generating nrnivmodl/d625e9e489d98d3dd35f8589f9bf50f428f7f90d24be979068bb87813edcfebe/unitstest.mod
[1110/1728] Generating nrnivmodl/d625e9e489d98d3dd35f8589f9bf50f428f7f90d24be979068bb87813edcfebe/version_macros.mod
[1111/1728] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/oc/hoc_interpreter.cpp.o
[1112/1728] Generating nrnivmodl/7378b0d1010df9fb9d314452ba3c6ac85ab5bcd507940792ff794d6831e1b453/opaque_token.mod
[1113/1728] Generating nrnivmodl/7378b0d1010df9fb9d314452ba3c6ac85ab5bcd507940792ff794d6831e1b453/ptr.mod
[1114/1728] Running utility command for hoc_module
INFO:root:setup.py called with:setup.py build --cmake-build-dir /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build --disable-rx3d --without-nrnpython --build-lib=/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python build_ext --define=NRN_ASAN_ENABLED,USE_PYTHON,NRN_ENABLE_THREADS
INFO:root:Setting SDKROOT=/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk
WARNING:root:You are building a wheel with a Python built for macOS >=12.0.0. Your wheel won't run on older versions, consider using an official Python build from python.org
WARNING:root:Setting MACOSX_DEPLOYMENT_TARGET=12.0
INFO:root:Extension common compile flags defaultdict(<class 'list'>, {'library_dirs': ['/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib'], 'libraries': ['nrniv'], 'language': 'c++'})
INFO:root:RX3D is DISABLED
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/venv/lib/python3.10/site-packages/setuptools/__init__.py:84: _DeprecatedInstaller: setuptools.installer and fetch_build_eggs are deprecated.
!!
********************************************************************************
Requirements should be satisfied by a PEP 517 installer.
If you are using pip, you can try `pip install --use-pep517`.
********************************************************************************
!!
dist.fetch_build_eggs(dist.setup_requires)
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/venv/lib/python3.10/site-packages/setuptools_scm/git.py:135: UserWarning: "/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn" is shallow and may cause errors
warnings.warn(f'"{wd.path}" is shallow and may cause errors')
INFO:root:running build
INFO:root:running build_py
INFO:root:copying share/lib/python/neuron/hclass3.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/expect_hocerr.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/psection.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/config.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/units.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/sections.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/__init__.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/coreneuron.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/doc.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/gui.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/nonvint_block_supervisor.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:creating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/metadata.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/morphml.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/xml2nrn.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/__init__.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/neuroml.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/rdxml.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/biophysics.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:creating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/_subclass.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_all.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/__init__.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_neuron.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_vector.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_rxd.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:creating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/__init__.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/strtobool.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/capture_stdout.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/checkresult.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:creating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxdException.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/options.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/plugins.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxdsection.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/species.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxdmath.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rate.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/region.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/multiCompartmentReaction.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/constants.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/dimension3.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/__init__.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/section1d.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/export.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/initializer.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rangevar.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/geometry.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxd.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/generalizedReaction.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/nodelist.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/node.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/gui.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/reaction.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:creating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/crxd
INFO:root:copying share/lib/python/neuron/crxd/__init__.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/crxd
INFO:root:creating /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/setup_threejs.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/config.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/__init__.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/rangevar.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/plotshape.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/utilities.py -> /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:running build_ext
INFO:root:==> Building Python extensions
INFO:root:building 'neuron.hoc' extension
INFO:root:/usr/bin/gcc -Wno-unused-result -Wsign-compare -Wunreachable-code -fno-common -dynamic -DNDEBUG -g -fwrapv -O3 -Wall -isysroot /Library/Developer/CommandLineTools/SDKs/MacOSX12.sdk -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -DNRN_ASAN_ENABLED=1 -DUSE_PYTHON=1 -DNRN_ENABLE_THREADS=1 -Isrc -Isrc/oc -Isrc/nrnpython -Isrc/nrnmpi -I/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/src -I/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/src/oc -I/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/src/nrnpython -I/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/src/nrnmpi -I/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/venv/include -I/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/include/python3.10 -c src/nrnpython/inithoc.cpp -o /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/src/nrnpython/inithoc.o -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -std=c++17
INFO:root:/usr/bin/g++ -bundle -undefined dynamic_lookup -isysroot /Library/Developer/CommandLineTools/SDKs/MacOSX12.sdk -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -Wl,-rpath,/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/src/nrnpython/inithoc.o -L/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib -lnrniv -o /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python/neuron/hoc.cpython-310-darwin.so -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -Wl,-rpath,/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin -Wl,-rpath,@loader_path/../../
ld: warning: -undefined dynamic_lookup may not work with chained fixups
INFO:root:running build_scripts
INFO:root:creating build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrniv -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrngui -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nmodl -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrniv-core -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrnpyenv.sh -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrnivmodl-core -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/neurondemo -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrnivmodl -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/modlunit -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/idraw -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/sortspike -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/mkthreadsafe -> build/scripts-3.10
INFO:root:changing mode of build/scripts-3.10/nrniv from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrngui from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nmodl from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrniv-core from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrnpyenv.sh from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrnivmodl-core from 644 to 755
INFO:root:changing mode of build/scripts-3.10/neurondemo from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrnivmodl from 644 to 755
INFO:root:changing mode of build/scripts-3.10/modlunit from 644 to 755
INFO:root:changing mode of build/scripts-3.10/idraw from 644 to 755
INFO:root:changing mode of build/scripts-3.10/sortspike from 644 to 755
INFO:root:changing mode of build/scripts-3.10/mkthreadsafe from 644 to 755
[1115/1728] Generating nrnivmodl/fb5fb42ddab2ff650e8e4e789eb94701174e41ce7edaa51ad58d4fd93f798b51/ppxsrc.mod
[1116/1728] Building special[-core] for test group datahandle
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/7378b0d1010df9fb9d314452ba3c6ac85ab5bcd507940792ff794d6831e1b453
cfiles =
Mod files: "./opaque_token.mod" "./ptr.mod"
MODOBJS= ./opaque_token.o ./ptr.o
-> Compiling mod_func.cpp
-> NMODL .././opaque_token.mod
-> NMODL .././ptr.mod
Translating ptr.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/7378b0d1010df9fb9d314452ba3c6ac85ab5bcd507940792ff794d6831e1b453/arm64/ptr.cpp
Notice: Use of POINTER is not thread safe.
-> Compiling ptr.cpp
Translating opaque_token.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/7378b0d1010df9fb9d314452ba3c6ac85ab5bcd507940792ff794d6831e1b453/arm64/opaque_token.cpp
Notice: VERBATIM blocks are not thread safe
-> Compiling opaque_token.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1117/1728] Generating datahandle/datahandle_tests/test/datahandle/test_1.py
[1118/1728] Generating datahandle/datahandle_tests/test/datahandle/test_token.py
[1119/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/argpass.mod
[1120/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cabpump.mod
[1121/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cachan.mod
[1122/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cacum.mod
[1123/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cacur.mod
[1124/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cadif.mod
[1125/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cadifusl.mod
[1126/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cagk.mod
[1127/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cagkftab.mod
[1128/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/capmp.mod
[1129/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/expsynspine.mod
[1130/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/fh.mod
[1131/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/fit1.mod
[1132/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/gap.mod
[1133/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/hh1.mod
[1134/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/hhvect.mod
[1135/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/inatest.mod
[1136/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/ionleak.mod
[1137/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/nacum.mod
[1138/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/nacur.mod
[1139/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/nadifl.mod
[1140/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/nonlin.mod
[1141/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/obj_ex.mod
[1142/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/order.mod
[1143/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/passiv.mod
[1144/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/rchan.mod
[1145/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/standard.inc
[1146/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/stim1.mod
[1147/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/str.mod
[1148/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/syn1.mod
[1149/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/synpre.mod
[1150/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/trivial.mod
[1151/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstdarray.mod
[1152/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstextrn.mod
[1153/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstpnt1.mod
[1154/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstpnt2.mod
[1155/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstvec.mod
[1156/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/vclmp1.mod
[1157/1728] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/vlag.mod
[1158/1728] Running nrnivmodl-core with internal mod files
WARNING: No mod files found in '/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/bin', compiling default ones only!
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build MECHLIB_SUFFIX=internal NMODL_BINARY=/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/bin/nmodl MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running code compatibility checker
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
[1159/1728] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/container/mechanism.cpp.o
[1160/1728] Building CXX object test/CMakeFiles/nrn-benchmarks.dir/benchmarks/threads/test_multicore.cpp.o
[1161/1728] Building special[-core] for test group coverage_tests
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/fb5fb42ddab2ff650e8e4e789eb94701174e41ce7edaa51ad58d4fd93f798b51
cfiles =
Mod files: "./ppxsrc.mod"
MODOBJS= ./ppxsrc.o
-> Compiling mod_func.cpp
-> NMODL .././ppxsrc.mod
Translating ppxsrc.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/fb5fb42ddab2ff650e8e4e789eb94701174e41ce7edaa51ad58d4fd93f798b51/arm64/ppxsrc.cpp
Thread Safe
-> Compiling ppxsrc.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1162/1728] Generating share/nrn/demo/release/arm64/special, share/nrn/demo/release/arm64/libnrnmech.dylib
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/nrn/demo/release
cfiles =
Mod files: "cabpump.mod" "cachan1.mod" "camchan.mod" "capump.mod" "invlfire.mod" "khhchan.mod" "mcna.mod" "nacaex.mod" "nachan.mod" "release.mod"
MODOBJS= ./cabpump.o ./cachan1.o ./camchan.o ./capump.o ./invlfire.o ./khhchan.o ./mcna.o ./nacaex.o ./nachan.o ./release.o
-> NMODL ../cabpump.mod
-> NMODL ../cachan1.mod
-> Compiling mod_func.cpp
-> NMODL ../camchan.mod
Translating cachan1.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/cachan1.cpp
Thread Safe
Translating camchan.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/camchan.cpp
Translating cabpump.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/cabpump.cpp
Thread Safe
Notice: Assignment to the GLOBAL variable, "vol", is not thread safe
-> NMODL ../capump.mod
-> NMODL ../invlfire.mod
-> NMODL ../khhchan.mod
Translating capump.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/capump.cpp
Thread Safe
Translating invlfire.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/invlfire.cpp
Thread Safe
-> NMODL ../mcna.mod
-> NMODL ../nacaex.mod
Translating khhchan.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/khhchan.cpp
Thread Safe
-> NMODL ../nachan.mod
Translating mcna.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/mcna.cpp
Thread Safe
Translating nacaex.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/nacaex.cpp
-> NMODL ../release.mod
Thread Safe
Translating nachan.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/nachan.cpp
-> Compiling cabpump.cpp
Thread Safe
-> Compiling cachan1.cpp
Translating release.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/release.cpp
Thread Safe
-> Compiling camchan.cpp
-> Compiling capump.cpp
-> Compiling invlfire.cpp
-> Compiling khhchan.cpp
-> Compiling mcna.cpp
-> Compiling nacaex.cpp
-> Compiling nachan.cpp
-> Compiling release.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1163/1728] Building special[-core] for test group pynrn
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/d625e9e489d98d3dd35f8589f9bf50f428f7f90d24be979068bb87813edcfebe
cfiles =
Mod files: "./follower.mod" "./gap.mod" "./name_clashes.mod" "./unitstest.mod" "./version_macros.mod"
MODOBJS= ./follower.o ./gap.o ./name_clashes.o ./unitstest.o ./version_macros.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/gap.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Processing arm64/corenrn/mod2c/follower.mod
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/name_clashes.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Parsing Units
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running C backend code generator
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/version_macros.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/unitstest.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././follower.mod
-> NMODL .././gap.mod
-> NMODL .././name_clashes.mod
Translating name_clashes.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/d625e9e489d98d3dd35f8589f9bf50f428f7f90d24be979068bb87813edcfebe/arm64/name_clashes.cpp
Translating gap.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/d625e9e489d98d3dd35f8589f9bf50f428f7f90d24be979068bb87813edcfebe/arm64/gap.cpp
Thread Safe
Thread Safe
Translating follower.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/d625e9e489d98d3dd35f8589f9bf50f428f7f90d24be979068bb87813edcfebe/arm64/follower.cpp
Thread Safe
-> NMODL .././unitstest.mod
-> NMODL .././version_macros.mod
-> Compiling follower.cpp
Translating version_macros.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/d625e9e489d98d3dd35f8589f9bf50f428f7f90d24be979068bb87813edcfebe/arm64/version_macros.cpp
Thread Safe
Translating unitstest.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/d625e9e489d98d3dd35f8589f9bf50f428f7f90d24be979068bb87813edcfebe/arm64/unitstest.cpp
Thread Safe
-> Compiling gap.cpp
-> Compiling name_clashes.cpp
-> Compiling unitstest.cpp
-> Compiling version_macros.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1164/1728] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/container/node.cpp.o
[1165/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/gui_pycallobject.py
[1166/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/run_pytest.py
[1167/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_a_neuronoptions.py
[1168/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_basic.py
[1169/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_bbss.py
[1170/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_fast_imem.py
[1171/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_hoc_po.py
[1172/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_loadbal.py
[1173/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_multigid.py
[1174/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_netpar.py
[1175/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_nlayer.py
[1176/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_nrnste.py
[1177/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_nrntest_fast.json
[1178/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_nrntest_fast.py
[1179/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_partrans.py
[1180/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_py2nrnstring.py
[1181/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_pycallobject.py
[1182/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_pyobj.py
[1183/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_swc.py
[1184/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_template_err.py
[1185/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_units.py
[1186/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_vector_api.py
[1187/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_version_macros.py
[1188/1728] Generating pynrn/basic_tests_py3.10/test/pynrn/test_zptrlist.py
[1189/1728] Generating coverage_tests/cover_tests/test/cover/test_netcvode.json
[1190/1728] Generating coverage_tests/cover_tests/test/cover/test_netcvode.py
[1191/1728] Generating nrnivmodl/0a9c588b2ccd04e5c212673c38bcea9f5e31a93a1f22ff6d0b36f0b0baf5928f/atest.mod
[1192/1728] Building special[-core] for test group hoctests
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/0a9c588b2ccd04e5c212673c38bcea9f5e31a93a1f22ff6d0b36f0b0baf5928f
cfiles =
Mod files: "./atest.mod"
MODOBJS= ./atest.o
-> Compiling mod_func.cpp
-> NMODL .././atest.mod
Translating atest.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/0a9c588b2ccd04e5c212673c38bcea9f5e31a93a1f22ff6d0b36f0b0baf5928f/arm64/atest.cpp
Thread Safe
-> Compiling atest.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1193/1728] Generating hoctests/test_loadbal_hoc/expect_err.hoc
[1194/1728] Generating hoctests/test_loadbal_hoc/tests/test_loadbal.hoc
[1195/1728] Generating hoctests/perf1_hoc/expect_err.hoc
[1196/1728] Generating hoctests/perf1_hoc/vardimtests/perf1.hoc
[1197/1728] Generating hoctests/test1_hoc/expect_err.hoc
[1198/1728] Generating hoctests/test1_hoc/vardimtests/test1.hoc
[1199/1728] Generating hoctests/test2_hoc/expect_err.hoc
[1200/1728] Generating hoctests/test2_hoc/vardimtests/test2.hoc
[1201/1728] Generating hoctests/test3_hoc/expect_err.hoc
[1202/1728] Generating hoctests/test3_hoc/vardimtests/test3.hoc
[1203/1728] Generating hoctests/test4_hoc/expect_err.hoc
[1204/1728] Generating hoctests/test4_hoc/vardimtests/test4.hoc
[1205/1728] Generating hoctests/test5_hoc/expect_err.hoc
[1206/1728] Generating hoctests/test5_hoc/vardimtests/test5.hoc
[1207/1728] Generating hoctests/test6_hoc/expect_err.hoc
[1208/1728] Generating hoctests/test6_hoc/vardimtests/test6.hoc
[1209/1728] Generating hoctests/test7_hoc/expect_err.hoc
[1210/1728] Generating hoctests/test7_hoc/vardimtests/test7.hoc
[1211/1728] Generating hoctests/test8_hoc/expect_err.hoc
[1212/1728] Generating hoctests/test8_hoc/vardimtests/test8.hoc
[1213/1728] Generating hoctests/test9_hoc/expect_err.hoc
[1214/1728] Generating hoctests/test9_hoc/vardimtests/test9.hoc
[1215/1728] Generating hoctests/test_hocGUI2_py/tests/test_hocGUI2.py
[1216/1728] Generating hoctests/test_kschan_py/tests/test_kschan.json
[1217/1728] Generating hoctests/test_kschan_py/tests/test_kschan.py
[1218/1728] Generating hoctests/test_neurondemo_py/tests/test_neurondemo.json
[1219/1728] Generating hoctests/test_neurondemo_py/tests/test_neurondemo.py
[1220/1728] Generating hoctests/test_nrniv-launch_py/tests/test_nrniv-launch.py
[1221/1728] Generating hoctests/test_shape_py/tests/test_shape.py
[1222/1728] Generating hoctests/test_thread_partition_py/tests/test_thread_partition.py
[1223/1728] Generating hoctests/test1_py/vardimtests/test1.py
[1224/1728] Generating hoctests/test2_py/vardimtests/test2.py
[1225/1728] Generating hoctests/test9_py/vardimtests/test9.py
[1226/1728] Generating nrnivmodl/2a0c36b731e977c40ec4ff97395d1b29104bb7fc70b4d54097cfd25cdaa23515/follower.mod
[1227/1728] Generating nrnivmodl/2a0c36b731e977c40ec4ff97395d1b29104bb7fc70b4d54097cfd25cdaa23515/gap.mod
[1228/1728] Generating nrnivmodl/2a0c36b731e977c40ec4ff97395d1b29104bb7fc70b4d54097cfd25cdaa23515/name_clashes.mod
[1229/1728] Generating nrnivmodl/2a0c36b731e977c40ec4ff97395d1b29104bb7fc70b4d54097cfd25cdaa23515/unitstest.mod
[1230/1728] Generating nrnivmodl/2a0c36b731e977c40ec4ff97395d1b29104bb7fc70b4d54097cfd25cdaa23515/version_macros.mod
[1231/1728] Building special[-core] for test group parallel
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/2a0c36b731e977c40ec4ff97395d1b29104bb7fc70b4d54097cfd25cdaa23515
cfiles =
Mod files: "./follower.mod" "./gap.mod" "./name_clashes.mod" "./unitstest.mod" "./version_macros.mod"
MODOBJS= ./follower.o ./gap.o ./name_clashes.o ./unitstest.o ./version_macros.o
-> Compiling mod_func.cpp
-> NMODL .././follower.mod
-> NMODL .././gap.mod
-> NMODL .././name_clashes.mod
Translating name_clashes.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/2a0c36b731e977c40ec4ff97395d1b29104bb7fc70b4d54097cfd25cdaa23515/arm64/name_clashes.cpp
Thread Safe
Translating gap.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/2a0c36b731e977c40ec4ff97395d1b29104bb7fc70b4d54097cfd25cdaa23515/arm64/gap.cpp
Thread Safe
Translating follower.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/2a0c36b731e977c40ec4ff97395d1b29104bb7fc70b4d54097cfd25cdaa23515/arm64/follower.cpp
Thread Safe
-> NMODL .././unitstest.mod
-> NMODL .././version_macros.mod
-> Compiling follower.cpp
Translating version_macros.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/2a0c36b731e977c40ec4ff97395d1b29104bb7fc70b4d54097cfd25cdaa23515/arm64/version_macros.cpp
Thread Safe
Translating unitstest.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/2a0c36b731e977c40ec4ff97395d1b29104bb7fc70b4d54097cfd25cdaa23515/arm64/unitstest.cpp
Thread Safe
-> Compiling gap.cpp
-> Compiling name_clashes.cpp
-> Compiling unitstest.cpp
-> Compiling version_macros.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1232/1728] Generating parallel/subworld/test/parallel_tests/test_subworld.py
[1233/1728] Generating parallel/partrans/test/pynrn/test_partrans.py
[1234/1728] Generating parallel/netpar/test/pynrn/test_hoc_po.py
[1235/1728] Generating parallel/netpar/test/pynrn/test_netpar.py
[1236/1728] Generating parallel/bas/test/parallel_tests/test_bas.py
[1237/1728] Generating parallel/nrntest_fast/test/pynrn/run_pytest.py
[1238/1728] Generating parallel/nrntest_fast/test/pynrn/test_nrntest_fast.json
[1239/1728] Generating parallel/nrntest_fast/test/pynrn/test_nrntest_fast.py
[1240/1728] Building special[-core] for test group example_nmodl
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2
cfiles =
Mod files: "./argpass.mod" "./cabpump.mod" "./cachan.mod" "./cacum.mod" "./cacur.mod" "./cadif.mod" "./cadifusl.mod" "./cagk.mod" "./cagkftab.mod" "./capmp.mod" "./expsynspine.mod" "./fh.mod" "./fit1.mod" "./gap.mod" "./hh1.mod" "./hhvect.mod" "./inatest.mod" "./ionleak.mod" "./nacum.mod" "./nacur.mod" "./nadifl.mod" "./nonlin.mod" "./obj_ex.mod" "./order.mod" "./passiv.mod" "./rchan.mod" "./stim1.mod" "./str.mod" "./syn1.mod" "./synpre.mod" "./trivial.mod" "./tstdarray.mod" "./tstextrn.mod" "./tstpnt1.mod" "./tstpnt2.mod" "./tstvec.mod" "./vclmp1.mod" "./vlag.mod"
MODOBJS= ./argpass.o ./cabpump.o ./cachan.o ./cacum.o ./cacur.o ./cadif.o ./cadifusl.o ./cagk.o ./cagkftab.o ./capmp.o ./expsynspine.o ./fh.o ./fit1.o ./gap.o ./hh1.o ./hhvect.o ./inatest.o ./ionleak.o ./nacum.o ./nacur.o ./nadifl.o ./nonlin.o ./obj_ex.o ./order.o ./passiv.o ./rchan.o ./stim1.o ./str.o ./syn1.o ./synpre.o ./trivial.o ./tstdarray.o ./tstextrn.o ./tstpnt1.o ./tstpnt2.o ./tstvec.o ./vclmp1.o ./vlag.o
-> Compiling mod_func.cpp
-> NMODL .././argpass.mod
-> NMODL .././cabpump.mod
-> NMODL .././cachan.mod
Translating cabpump.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cabpump.cpp
Notice: Assignment to the GLOBAL variable, "vol", is not thread safe
Translating cachan.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cachan.cpp
Thread Safe
Translating argpass.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/argpass.cpp
Notice: VERBATIM blocks are not thread safe
-> NMODL .././cacum.mod
-> NMODL .././cacur.mod
-> NMODL .././cadif.mod
Translating cadif.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cadif.cpp
Translating cacum.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cacum.cpp
Thread Safe
Thread Safe
Translating cacur.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cacur.cpp
Thread Safe
-> NMODL .././cadifusl.mod
-> NMODL .././cagk.mod
-> NMODL .././cagkftab.mod
Translating cadifusl.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cadifusl.cpp
Translating cagk.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cagk.cpp
Notice: Assignment to the GLOBAL variable, "vol", is not thread safe
Translating cagkftab.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cagkftab.cpp
Thread Safe
Thread Safe
-> NMODL .././capmp.mod
-> NMODL .././expsynspine.mod
-> NMODL .././fh.mod
Translating capmp.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/capmp.cpp
Translating expsynspine.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/expsynspine.cpp
Notice: LINEAR is not thread safe.
NEURON's CVode method ignores conservation
Thread Safe
Translating fh.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/fh.cpp
INCLUDEing standard.inc
Notice: Assignment to the GLOBAL variable, "inf", is not thread safe
Notice: Assignment to the GLOBAL variable, "tau", is not thread safe
-> NMODL .././fit1.mod
-> NMODL .././gap.mod
-> NMODL .././hh1.mod
Translating hh1.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/hh1.cpp
Translating gap.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/gap.cpp
Notice: Use of POINTER is not thread safe.
Notice: This mechanism cannot be used with CVODE
Notice: Assignment to the GLOBAL variable, "hexp", is not thread safe
Notice: Assignment to the GLOBAL variable, "hinf", is not thread safe
Notice: Assignment to the GLOBAL variable, "mexp", is not thread safe
Notice: Assignment to the GLOBAL variable, "minf", is not thread safe
Notice: Assignment to the GLOBAL variable, "nexp", is not thread safe
Notice: Assignment to the GLOBAL variable, "ninf", is not thread safe
Warning: Default 6.3 of PARAMETER celsius will be ignored and set by NEURON.
Warning: Default -77.5 of PARAMETER ek will be ignored and set by NEURON.
Warning: Default 50 of PARAMETER ena will be ignored and set by NEURON.
Translating fit1.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/fit1.cpp
Notice: VERBATIM blocks are not thread safe
-> NMODL .././hhvect.mod
-> NMODL .././inatest.mod
-> NMODL .././ionleak.mod
Translating hhvect.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/hhvect.cpp
Notice: This mechanism cannot be used with CVODE
Thread Safe
Warning: Default 6.3 of PARAMETER celsius will be ignored and set by NEURON.
Warning: Default -77.5 of PARAMETER ek will be ignored and set by NEURON.
Warning: Default 50 of PARAMETER ena will be ignored and set by NEURON.
Translating inatest.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/inatest.cpp
Thread Safe
Translating ionleak.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/ionleak.cpp
Thread Safe
-> NMODL .././nacum.mod
-> NMODL .././nacur.mod
-> NMODL .././nadifl.mod
Translating nacum.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/nacum.cpp
Thread Safe
Translating nacur.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/nacur.cpp
Thread Safe
Translating nadifl.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/nadifl.cpp
Thread Safe
-> NMODL .././nonlin.mod
-> NMODL .././obj_ex.mod
-> NMODL .././order.mod
Translating nonlin.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/nonlin.cpp
Notice: NONLINEAR is not thread safe.
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Translating obj_ex.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/obj_ex.cpp
Thread Safe
Translating order.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/order.cpp
Thread Safe
-> NMODL .././passiv.mod
-> NMODL .././rchan.mod
-> NMODL .././stim1.mod
Translating passiv.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/passiv.cpp
Translating rchan.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/rchan.cpp
Thread Safe
Notice: normrand is not thread safe
Notice: This mechanism cannot be used with CVODE
-> NMODL .././str.mod
-> NMODL .././syn1.mod
Translating stim1.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/stim1.cpp
Thread Safe
-> NMODL .././synpre.mod
-> NMODL .././trivial.mod
Translating str.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/str.cpp
Notice: VERBATIM blocks are not thread safe
Translating syn1.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/syn1.cpp
Thread Safe
Translating synpre.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/synpre.cpp
Notice: Use of POINTER is not thread safe.
Notice: VERBATIM blocks are not thread safe
Notice: This mechanism cannot be used with CVODE
-> NMODL .././tstdarray.mod
Translating trivial.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/trivial.cpp
-> NMODL .././tstextrn.mod
-> NMODL .././tstpnt1.mod
-> NMODL .././tstpnt2.mod
Translating tstextrn.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstextrn.cpp
Notice: Use of EXTERNAL is not thread safe.
Translating tstpnt1.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstpnt1.cpp
Notice: Use of POINTER is not thread safe.
Translating tstdarray.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstdarray.cpp
Thread Safe
-> NMODL .././tstvec.mod
Translating tstpnt2.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstpnt2.cpp
Notice: Use of POINTER is not thread safe.
-> NMODL .././vclmp1.mod
-> NMODL .././vlag.mod
-> Compiling argpass.cpp
Translating tstvec.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstvec.cpp
Notice: VERBATIM blocks are not thread safe
-> Compiling cabpump.cpp
Translating vclmp1.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/vclmp1.cpp
Translating vlag.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/vlag.cpp
Thread Safe
Notice: VERBATIM blocks are not thread safe
Notice: This mechanism cannot be used with CVODE
Notice: LINEAR is not thread safe.
-> Compiling cachan.cpp
-> Compiling cacum.cpp
-> Compiling cacur.cpp
-> Compiling cadif.cpp
-> Compiling cadifusl.cpp
-> Compiling cagk.cpp
-> Compiling cagkftab.cpp
-> Compiling capmp.cpp
-> Compiling expsynspine.cpp
-> Compiling fh.cpp
-> Compiling fit1.cpp
-> Compiling gap.cpp
-> Compiling hh1.cpp
-> Compiling hhvect.cpp
-> Compiling inatest.cpp
-> Compiling ionleak.cpp
-> Compiling nacum.cpp
-> Compiling nacur.cpp
-> Compiling nadifl.cpp
-> Compiling nonlin.cpp
-> Compiling obj_ex.cpp
-> Compiling order.cpp
-> Compiling passiv.cpp
-> Compiling rchan.cpp
-> Compiling stim1.cpp
-> Compiling str.cpp
-> Compiling syn1.cpp
-> Compiling synpre.cpp
-> Compiling trivial.cpp
-> Compiling tstdarray.cpp
-> Compiling tstextrn.cpp
-> Compiling tstpnt1.cpp
-> Compiling tstpnt2.cpp
-> Compiling tstvec.cpp
-> Compiling vclmp1.cpp
-> Compiling vlag.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1241/1728] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/cadif.mod
[1242/1728] Generating example_nmodl/ca_ap_hoc/ca_ap.hoc
[1243/1728] Generating example_nmodl/cabpump_hoc/cabpump.hoc
[1244/1728] Generating example_nmodl/cachan_hoc/cachan.hoc
[1245/1728] Generating example_nmodl/cadif_hoc/cadif.hoc
[1246/1728] Generating example_nmodl/cadif_hoc/cadif.ses
[1247/1728] Generating example_nmodl/cadifusl_hoc/cadifusl.hoc
[1248/1728] Generating example_nmodl/cadifusl1_hoc/cadifusl1.hoc
[1249/1728] Generating example_nmodl/cadifusl2_hoc/cadifusl2.hoc
[1250/1728] Generating example_nmodl/cagk_hoc/cagk.hoc
[1251/1728] Generating example_nmodl/capmp_hoc/capmp.hoc
[1252/1728] Generating example_nmodl/cv_hoc/cv.hoc
[1253/1728] Generating example_nmodl/fh_hoc/fh.hoc
[1254/1728] Generating example_nmodl/fit1_hoc/fit1.hoc
[1255/1728] Generating example_nmodl/hh1_hoc/hh1.hoc
[1256/1728] Generating example_nmodl/gap_hoc/gap.hoc
[1257/1728] Generating example_nmodl/nacum_hoc/nacum.hoc
[1258/1728] Generating example_nmodl/hhvect_hoc/hhvect.hoc
[1259/1728] Generating example_nmodl/nadifl1_hoc/nadifl1.hoc
[1260/1728] Generating example_nmodl/nadifl1_hoc/nadifl1.ses
[1261/1728] Generating example_nmodl/nadifl2_hoc/nadifl2.hoc
[1262/1728] Generating example_nmodl/nadifl2_hoc/nadifl2.ses
[1263/1728] Generating example_nmodl/nadifl3_hoc/nadifl3.hoc
[1264/1728] Generating example_nmodl/nadifl3_hoc/nadifl3.ses
[1265/1728] Generating example_nmodl/nadifl4_hoc/nadifl4.hoc
[1266/1728] Generating example_nmodl/nadifl4_hoc/nadifl4.ses
[1267/1728] Generating example_nmodl/obj_ex_hoc/obj_ex.hoc
[1268/1728] Generating example_nmodl/order_hoc/order.hoc
[1269/1728] Generating example_nmodl/passiv_hoc/passiv.hoc
[1270/1728] Generating example_nmodl/stim1_hoc/stim1.hoc
[1271/1728] Generating example_nmodl/synpre_hoc/synpre.hoc
[1272/1728] Generating example_nmodl/syn1_hoc/syn1.hoc
[1273/1728] Generating example_nmodl/tstextrn_hoc/tstextrn.hoc
[1274/1728] Generating example_nmodl/tstpnt1_hoc/tstpnt1.hoc
[1275/1728] Generating example_nmodl/tstpnt2_hoc/tstpnt2.hoc
[1276/1728] Generating example_nmodl/tstvec_hoc/tstvec.hoc
[1277/1728] Generating example_nmodl/cagkftab_py/cagkftab.py
[1278/1728] Generating example_nmodl/expsynspine_py/expsynspine.py
[1279/1728] Generating example_nmodl/expsynspine_py/expsynspine.ses
[1280/1728] Generating example_nmodl/nonlin_py/nonlin.py
[1281/1728] Generating example_nmodl/tstpnt1_py/tstpnt1.py
[1282/1728] Generating example_nmodl/tstpnt2_py/tstpnt2.py
[1283/1728] Generating example_nmodl/vlag_py/vlag.py
[1284/1728] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/disc.mod
[1285/1728] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/fornetcon.mod
[1286/1728] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/hhwatch.mod
[1287/1728] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/k3st.mod
[1288/1728] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/table.mod
[1289/1728] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/variabletypes.mod
[1290/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/axial.inc
[1291/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/axial.mod
[1292/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/axial_pp.mod
[1293/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/bacur.mod
[1294/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/banocur.mod
[1295/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/fornetcon.mod
[1296/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/invlfire.mod
[1297/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/natrans.mod
[1298/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/netmove.mod
[1299/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/sample.mod
[1300/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/unitstest.mod
[1301/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/version_macros.mod
[1302/1728] Generating nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/watchrange.mod
[1303/1728] Building CXX object test/CMakeFiles/testneuron.dir/common/catch2_main.cpp.o
[1304/1728] Linking CXX executable bin/test/testneuron
[1305/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_Ca.mod
[1306/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_CadepK.mod
[1307/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_KA.mod
[1308/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_KDRf.mod
[1309/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_KDRs.mod
[1310/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_Na.mod
[1311/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/CaBK.mod
[1312/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/DGC_M.mod
[1313/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/DGC_UK.mod
[1314/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/DGC_sAHP.mod
[1315/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Gfluct3.mod
[1316/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/LcaMig.mod
[1317/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/SH_KIn.mod
[1318/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/SH_na8st.mod
[1319/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/bgka.mod
[1320/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/ccanl.mod
[1321/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/ggap.mod
[1322/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/gskch.mod
[1323/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/holdingi.mod
[1324/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/hyperde3.mod
[1325/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/ichan2.mod
[1326/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/iconc_Ca.mod
[1327/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/kcaPR.mod
[1328/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/na3n.mod
[1329/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/nca.mod
[1330/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/spines.mod
[1331/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/tca.mod
[1332/1728] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/vecevent.mod
[1333/1728] Building CXX object test/CMakeFiles/nrn-benchmarks.dir/common/catch2_main.cpp.o
[1334/1728] Linking CXX executable bin/test/nrn-benchmarks
[1335/1728] Generating ../../nrnivmodl/a9c54b18e4b54351cb4fd3e79067cafa02da4514b4383a54de8ff121657615ff/halfgap.mod
[1336/1728] Building special[-core] for test group nmodl_tests
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5
cfiles =
Mod files: "./cadif.mod" "./disc.mod" "./fornetcon.mod" "./hhwatch.mod" "./k3st.mod" "./table.mod" "./variabletypes.mod"
MODOBJS= ./cadif.o ./disc.o ./fornetcon.o ./hhwatch.o ./k3st.o ./table.o ./variabletypes.o
-> Compiling mod_func.cpp
-> NMODL .././cadif.mod
-> NMODL .././disc.mod
-> NMODL .././fornetcon.mod
Translating fornetcon.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/fornetcon.cpp
Thread Safe
Translating cadif.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/cadif.cpp
Translating disc.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/disc.cpp
Notice: DISCRETE is not thread safe.
Notice: This mechanism cannot be used with CVODE
Thread Safe
-> NMODL .././hhwatch.mod
-> NMODL .././k3st.mod
-> NMODL .././table.mod
Translating hhwatch.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/hhwatch.cpp
Thread Safe
-> NMODL .././variabletypes.mod
Translating k3st.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/k3st.cpp
Translating table.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/table.cpp
NEURON's CVode method ignores conservation
Thread Safe
Thread Safe
-> Compiling cadif.cpp
-> Compiling disc.cpp
Translating variabletypes.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/variabletypes.cpp
Thread Safe
-> Compiling fornetcon.cpp
-> Compiling hhwatch.cpp
-> Compiling k3st.cpp
-> Compiling table.cpp
-> Compiling variabletypes.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1337/1728] Generating nmodl_tests/test_table/test/nmodl/test_table.py
[1338/1728] Generating nmodl_tests/test_disc/test/nmodl/test_disc.py
[1339/1728] Generating nmodl_tests/test_function_table/test/nmodl/test_function_table.py
[1340/1728] Generating nmodl_tests/test_kinetic/test/nmodl/test_kinetic.py
[1341/1728] Generating nmodl_tests_coreneuron/test_table_coreneuron_cpu/test/nmodl/test_table.py
[1342/1728] Generating nmodl_tests_coreneuron/test_disc_coreneuron_cpu/test/nmodl/test_disc.py
[1343/1728] Generating nmodl_tests_coreneuron/test_function_table_coreneuron_cpu/test/nmodl/test_function_table.py
[1344/1728] Generating nmodl_tests_coreneuron/test_kinetic_coreneuron_cpu/test/nmodl/test_kinetic.py
[1345/1728] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/Gfluct3.mod
[1346/1728] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/hhderiv.mod
[1347/1728] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/hhkin.mod
[1348/1728] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/hhwatch.mod
[1349/1728] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/nacum.mod
[1350/1728] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/vecevent.mod
[1351/1728] Building special[-core] for test group external_ringtest
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/a9c54b18e4b54351cb4fd3e79067cafa02da4514b4383a54de8ff121657615ff
cfiles =
Mod files: "./halfgap.mod"
MODOBJS= ./halfgap.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/halfgap.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running C backend code generator
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././halfgap.mod
Translating halfgap.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/a9c54b18e4b54351cb4fd3e79067cafa02da4514b4383a54de8ff121657615ff/arm64/halfgap.cpp
Thread Safe
-> Compiling halfgap.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1352/1728] Generating ../../external_ringtest/neuron/args.py
[1353/1728] Generating ../../external_ringtest/neuron/cell.hoc
[1354/1728] Generating ../../external_ringtest/neuron/celluniform.hoc
[1355/1728] Generating ../../external_ringtest/neuron/commonutils.py
[1356/1728] Generating ../../external_ringtest/neuron/ranparm.py
[1357/1728] Generating ../../external_ringtest/neuron/ring.py
[1358/1728] Generating ../../external_ringtest/neuron/ringtest.py
[1359/1728] Generating ../../external_ringtest/neuron/ringuniform.py
[1360/1728] Generating ../../external_ringtest/neuron/settings.py
[1361/1728] Generating ../../external_ringtest/neuron_mpi/args.py
[1362/1728] Generating ../../external_ringtest/neuron_mpi/cell.hoc
[1363/1728] Generating ../../external_ringtest/neuron_mpi/celluniform.hoc
[1364/1728] Generating ../../external_ringtest/neuron_mpi/commonutils.py
[1365/1728] Generating ../../external_ringtest/neuron_mpi/ranparm.py
[1366/1728] Generating ../../external_ringtest/neuron_mpi/ring.py
[1367/1728] Generating ../../external_ringtest/neuron_mpi/ringtest.py
[1368/1728] Generating ../../external_ringtest/neuron_mpi/ringuniform.py
[1369/1728] Generating ../../external_ringtest/neuron_mpi/settings.py
[1370/1728] Generating ../../external_ringtest/neuron_mpi_python/args.py
[1371/1728] Generating ../../external_ringtest/neuron_mpi_python/cell.hoc
[1372/1728] Generating ../../external_ringtest/neuron_mpi_python/celluniform.hoc
[1373/1728] Generating ../../external_ringtest/neuron_mpi_python/commonutils.py
[1374/1728] Generating ../../external_ringtest/neuron_mpi_python/ranparm.py
[1375/1728] Generating ../../external_ringtest/neuron_mpi_python/ring.py
[1376/1728] Generating ../../external_ringtest/neuron_mpi_python/ringtest.py
[1377/1728] Generating ../../external_ringtest/neuron_mpi_python/ringuniform.py
[1378/1728] Generating ../../external_ringtest/neuron_mpi_python/settings.py
[1379/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/args.py
[1380/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/cell.hoc
[1381/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/celluniform.hoc
[1382/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/commonutils.py
[1383/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/ranparm.py
[1384/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/ring.py
[1385/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/ringtest.py
[1386/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/ringuniform.py
[1387/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/settings.py
[1388/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi/args.py
[1389/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi/cell.hoc
[1390/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi/celluniform.hoc
[1391/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi/commonutils.py
[1392/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi/ranparm.py
[1393/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi/ring.py
[1394/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi/ringtest.py
[1395/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi/ringuniform.py
[1396/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi/settings.py
[1397/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/args.py
[1398/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/cell.hoc
[1399/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/celluniform.hoc
[1400/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/commonutils.py
[1401/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/ranparm.py
[1402/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/ring.py
[1403/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/ringtest.py
[1404/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/ringuniform.py
[1405/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/settings.py
[1406/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/args.py
[1407/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/cell.hoc
[1408/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/celluniform.hoc
[1409/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/commonutils.py
[1410/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/ranparm.py
[1411/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/ring.py
[1412/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/ringtest.py
[1413/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/ringuniform.py
[1414/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/settings.py
[1415/1728] Building CXX object test/coreneuron/unit/cmdline_interface/CMakeFiles/cmd_interface_test_bin.dir/test_cmdline_interface.cpp.o
[1416/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/args.py
[1417/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/cell.hoc
[1418/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/celluniform.hoc
[1419/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/commonutils.py
[1420/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/ranparm.py
[1421/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/ring.py
[1422/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/ringtest.py
[1423/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/ringuniform.py
[1424/1728] Linking CXX executable bin/cmd_interface_test_bin
[1425/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/settings.py
[1426/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/args.py
[1427/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/cell.hoc
[1428/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/celluniform.hoc
[1429/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/commonutils.py
[1430/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/ranparm.py
[1431/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/ring.py
[1432/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/ringtest.py
[1433/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/ringuniform.py
[1434/1728] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/settings.py
[1435/1728] Building CXX object test/coreneuron/unit/interleave_info/CMakeFiles/interleave_info_bin.dir/check_constructors.cpp.o
[1436/1728] Linking CXX executable bin/interleave_info_bin
[1437/1728] Building CXX object test/coreneuron/unit/queueing/CMakeFiles/queuing_test_bin.dir/test_queueing.cpp.o
[1438/1728] Linking CXX executable bin/queuing_test_bin
[1439/1728] Building CXX object test/coreneuron/unit/alignment/CMakeFiles/alignment_test_bin.dir/alignment.cpp.o
[1440/1728] Linking CXX executable bin/alignment_test_bin
[1441/1728] Building special[-core] for test group coreneuron_modtests
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056
cfiles =
Mod files: "./axial.mod" "./axial_pp.mod" "./bacur.mod" "./banocur.mod" "./fornetcon.mod" "./invlfire.mod" "./natrans.mod" "./netmove.mod" "./sample.mod" "./unitstest.mod" "./version_macros.mod" "./watchrange.mod"
MODOBJS= ./axial.o ./axial_pp.o ./bacur.o ./banocur.o ./fornetcon.o ./invlfire.o ./natrans.o ./netmove.o ./sample.o ./unitstest.o ./version_macros.o ./watchrange.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/axial.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/axial_pp.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/bacur.mod
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/banocur.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Processing arm64/corenrn/mod2c/fornetcon.mod
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: w [Argument] in ForNetcon shadows <argument> definition in NetReceiveBlock
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: w [Argument] in ForNetcon shadows <argument> definition in NetReceiveBlock
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: w [Argument] in ForNetcon shadows <argument> definition in NetReceiveBlock
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/invlfire.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netmove.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/natrans.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/sample.mod
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/unitstest.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/version_macros.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/watchrange.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././axial.mod
-> NMODL .././axial_pp.mod
-> NMODL .././bacur.mod
Translating bacur.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/bacur.cpp
Translating axial.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/axial.cpp
INCLUDEing axial.inc
Thread Safe
Thread Safe
Translating axial_pp.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/axial_pp.cpp
INCLUDEing axial.inc
Thread Safe
-> NMODL .././banocur.mod
-> NMODL .././fornetcon.mod
-> NMODL .././invlfire.mod
Translating fornetcon.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/fornetcon.cpp
Thread Safe
Translating invlfire.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/invlfire.cpp
Thread Safe
Translating banocur.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/banocur.cpp
Notice: This mechanism cannot be used with CVODE
Thread Safe
-> NMODL .././natrans.mod
-> NMODL .././netmove.mod
-> NMODL .././sample.mod
Translating natrans.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/natrans.cpp
Thread Safe
Translating netmove.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/netmove.cpp
Thread Safe
-> NMODL .././unitstest.mod
Translating sample.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/sample.cpp
-> NMODL .././version_macros.mod
Thread Safe
-> NMODL .././watchrange.mod
Translating version_macros.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/version_macros.cpp
Translating unitstest.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/unitstest.cpp
Thread Safe
Thread Safe
Translating watchrange.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/9a5c2ef270a2793c24e1bba0215efdd5544ecc21005c2a0d66b88f7fe78a4056/arm64/watchrange.cpp
-> Compiling axial.cpp
-> Compiling axial_pp.cpp
Thread Safe
-> Compiling bacur.cpp
-> Compiling banocur.cpp
-> Compiling fornetcon.cpp
-> Compiling invlfire.cpp
-> Compiling natrans.cpp
-> Compiling netmove.cpp
-> Compiling sample.cpp
-> Compiling unitstest.cpp
-> Compiling version_macros.cpp
-> Compiling watchrange.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1442/1728] Generating coreneuron_modtests/fornetcon_py_cpu/test/coreneuron/test_fornetcon.py
[1443/1728] Generating coreneuron_modtests/version_macros/test/pynrn/test_version_macros.py
[1444/1728] Generating coreneuron_modtests/direct_py_cpu/test/coreneuron/test_direct.py
[1445/1728] Generating coreneuron_modtests/direct_hoc_cpu/test/coreneuron/test_direct.hoc
[1446/1728] Generating coreneuron_modtests/spikes_file_mode_py_cpu/test/coreneuron/test_spikes.py
[1447/1728] Generating coreneuron_modtests/spikes_py_cpu/test/coreneuron/test_spikes.py
[1448/1728] Generating coreneuron_modtests/fast_imem_py_cpu/test/pynrn/test_fast_imem.py
[1449/1728] Generating coreneuron_modtests/test_watchrange_py_cpu/test/coreneuron/test_watchrange.py
[1450/1728] Generating coreneuron_modtests/test_units_py_cpu/test/coreneuron/test_units.py
[1451/1728] Generating coreneuron_modtests/datareturn_py_cpu/test/coreneuron/test_datareturn.py
[1452/1728] Generating coreneuron_modtests/test_netmove_py_cpu/test/coreneuron/test_netmove.py
[1453/1728] Generating coreneuron_modtests/test_psolve_py_cpu/test/coreneuron/test_psolve.py
[1454/1728] Generating coreneuron_modtests/test_pointer_py_cpu/test/coreneuron/test_pointer.py
[1455/1728] Generating coreneuron_modtests/test_ba_py_cpu/test/coreneuron/test_ba.py
[1456/1728] Generating coreneuron_modtests/test_subworlds_py_cpu/test/coreneuron/test_subworlds.py
[1457/1728] Generating coreneuron_modtests/test_natrans_py_cpu/test/gjtests/test_natrans.py
[1458/1728] Generating coreneuron_modtests/spikes_mpi_file_mode_py_cpu/test/coreneuron/test_spikes.py
[1459/1728] Generating coreneuron_modtests/inputpresyn_py_cpu/test/coreneuron/test_spikes.py
[1460/1728] Building special[-core] for test group testcorenrn_bbcore
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876
cfiles =
Mod files: "./Gfluct3.mod" "./hhderiv.mod" "./hhkin.mod" "./hhwatch.mod" "./nacum.mod" "./vecevent.mod"
MODOBJS= ./Gfluct3.o ./hhderiv.o ./hhkin.o ./hhwatch.o ./nacum.o ./vecevent.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Gfluct3.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hhderiv.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hhkin.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Automatically enable sympy_analytic because it exists solver of type sparse
[NMODL] [info] :: Running sympy solve visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hhwatch.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/nacum.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/vecevent.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././Gfluct3.mod
-> NMODL .././hhderiv.mod
-> NMODL .././hhkin.mod
Translating Gfluct3.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/Gfluct3.cpp
Translating hhderiv.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/hhderiv.cpp
Translating hhkin.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/hhkin.cpp
Thread Safe
Thread Safe
Thread Safe
-> NMODL .././hhwatch.mod
-> NMODL .././nacum.mod
-> NMODL .././vecevent.mod
Translating hhwatch.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/hhwatch.cpp
Translating nacum.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/nacum.cpp
Thread Safe
Thread Safe
Translating vecevent.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/vecevent.cpp
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
-> Compiling Gfluct3.cpp
-> Compiling hhderiv.cpp
-> Compiling hhkin.cpp
-> Compiling hhwatch.cpp
-> Compiling nacum.cpp
-> Compiling vecevent.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1461/1728] Generating ../../testcorenrn_bbcore/neuron/common.hoc
[1462/1728] Generating ../../testcorenrn_bbcore/neuron/defvar.hoc
[1463/1728] Generating ../../testcorenrn_bbcore/neuron/testbbcore.hoc
[1464/1728] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online/common.hoc
[1465/1728] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online/defvar.hoc
[1466/1728] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online/testbbcore.hoc
[1467/1728] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online_psolve_alternate/common.hoc
[1468/1728] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1469/1728] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online_psolve_alternate/testbbcore.hoc
[1470/1728] Generating ../../testcorenrn_bbcore/coreneuron_cpu_offline/common.hoc
[1471/1728] Generating ../../testcorenrn_bbcore/coreneuron_cpu_offline/defvar.hoc
[1472/1728] Generating ../../testcorenrn_bbcore/coreneuron_cpu_offline/testbbcore.hoc
[1473/1728] Generating ../../testcorenrn_conc/neuron/common.hoc
[1474/1728] Generating ../../testcorenrn_conc/neuron/defvar.hoc
[1475/1728] Generating ../../testcorenrn_conc/coreneuron_cpu_online/common.hoc
[1476/1728] Generating ../../testcorenrn_conc/neuron/testconc.hoc
[1477/1728] Generating ../../testcorenrn_conc/coreneuron_cpu_online/testconc.hoc
[1478/1728] Generating ../../testcorenrn_conc/coreneuron_cpu_online_psolve_alternate/common.hoc
[1479/1728] Generating ../../testcorenrn_conc/coreneuron_cpu_online/defvar.hoc
[1480/1728] Generating ../../testcorenrn_conc/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1481/1728] Generating ../../testcorenrn_conc/coreneuron_cpu_offline/common.hoc
[1482/1728] Generating ../../testcorenrn_conc/coreneuron_cpu_online_psolve_alternate/testconc.hoc
[1483/1728] Generating ../../testcorenrn_conc/coreneuron_cpu_offline/defvar.hoc
[1484/1728] Generating ../../testcorenrn_conc/coreneuron_cpu_offline/testconc.hoc
[1485/1728] Generating ../../testcorenrn_deriv/neuron/common.hoc
[1486/1728] Generating ../../testcorenrn_deriv/coreneuron_cpu_online/common.hoc
[1487/1728] Generating ../../testcorenrn_deriv/neuron/defvar.hoc
[1488/1728] Generating ../../testcorenrn_deriv/coreneuron_cpu_online/defvar.hoc
[1489/1728] Generating ../../testcorenrn_deriv/neuron/testderiv.hoc
[1490/1728] Generating ../../testcorenrn_deriv/coreneuron_cpu_online_psolve_alternate/common.hoc
[1491/1728] Generating ../../testcorenrn_deriv/coreneuron_cpu_online/testderiv.hoc
[1492/1728] Generating ../../testcorenrn_deriv/coreneuron_cpu_online_psolve_alternate/testderiv.hoc
[1493/1728] Generating ../../testcorenrn_deriv/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1494/1728] Generating ../../testcorenrn_gf/neuron/defvar.hoc
[1495/1728] Generating ../../testcorenrn_deriv/coreneuron_cpu_offline/common.hoc
[1496/1728] Generating ../../testcorenrn_deriv/coreneuron_cpu_offline/testderiv.hoc
[1497/1728] Generating ../../testcorenrn_deriv/coreneuron_cpu_offline/defvar.hoc
[1498/1728] Generating ../../testcorenrn_gf/neuron/common.hoc
[1499/1728] Generating ../../testcorenrn_gf/neuron/testgf.hoc
[1500/1728] Generating ../../testcorenrn_gf/coreneuron_cpu_online/common.hoc
[1501/1728] Generating ../../testcorenrn_gf/coreneuron_cpu_online/defvar.hoc
[1502/1728] Generating ../../testcorenrn_gf/coreneuron_cpu_online/testgf.hoc
[1503/1728] Generating ../../testcorenrn_gf/coreneuron_cpu_online_psolve_alternate/common.hoc
[1504/1728] Generating ../../testcorenrn_gf/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1505/1728] Generating ../../testcorenrn_gf/coreneuron_cpu_online_psolve_alternate/testgf.hoc
[1506/1728] Generating ../../testcorenrn_gf/coreneuron_cpu_offline/common.hoc
[1507/1728] Generating ../../testcorenrn_gf/coreneuron_cpu_offline/defvar.hoc
[1508/1728] Generating ../../testcorenrn_gf/coreneuron_cpu_offline/testgf.hoc
[1509/1728] Generating ../../testcorenrn_kin/coreneuron_cpu_online/common.hoc
[1510/1728] Generating ../../testcorenrn_kin/neuron/common.hoc
[1511/1728] Generating ../../testcorenrn_kin/neuron/defvar.hoc
[1512/1728] Generating ../../testcorenrn_kin/coreneuron_cpu_online/defvar.hoc
[1513/1728] Generating ../../testcorenrn_kin/neuron/testkin.hoc
[1514/1728] Generating ../../testcorenrn_kin/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1515/1728] Generating ../../testcorenrn_kin/coreneuron_cpu_online_psolve_alternate/common.hoc
[1516/1728] Generating ../../testcorenrn_kin/coreneuron_cpu_online/testkin.hoc
[1517/1728] Generating ../../testcorenrn_kin/coreneuron_cpu_online_psolve_alternate/testkin.hoc
[1518/1728] Generating ../../testcorenrn_kin/coreneuron_cpu_offline/common.hoc
[1519/1728] Generating ../../testcorenrn_patstim/neuron/common.hoc
[1520/1728] Generating ../../testcorenrn_kin/coreneuron_cpu_offline/testkin.hoc
[1521/1728] Generating ../../testcorenrn_kin/coreneuron_cpu_offline/defvar.hoc
[1522/1728] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline_saverestore/common.hoc
[1523/1728] Generating ../../testcorenrn_patstim/neuron/testpatstim.hoc
[1524/1728] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline_saverestore/testpatstim.hoc
[1525/1728] Generating ../../testcorenrn_patstim/neuron/defvar.hoc
[1526/1728] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline_saverestore/defvar.hoc
[1527/1728] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline/defvar.hoc
[1528/1728] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline/common.hoc
[1529/1728] Generating ../../testcorenrn_vecplay/neuron/common.hoc
[1530/1728] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline/testpatstim.hoc
[1531/1728] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online/common.hoc
[1532/1728] Generating ../../testcorenrn_vecplay/neuron/defvar.hoc
[1533/1728] Generating ../../testcorenrn_vecplay/neuron/testvecplay.hoc
[1534/1728] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online_psolve_alternate/common.hoc
[1535/1728] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online/testvecplay.hoc
[1536/1728] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online/defvar.hoc
[1537/1728] Generating ../../testcorenrn_vecplay/coreneuron_cpu_offline/testvecplay.hoc
[1538/1728] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1539/1728] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online_psolve_alternate/testvecplay.hoc
[1540/1728] Generating ../../testcorenrn_vecplay/coreneuron_cpu_offline/common.hoc
[1541/1728] Generating ../../testcorenrn_vecplay/coreneuron_cpu_offline/defvar.hoc
[1542/1728] Generating ../../testcorenrn_vecevent/neuron/common.hoc
[1543/1728] Generating ../../testcorenrn_vecevent/neuron/defvar.hoc
[1544/1728] Generating ../../testcorenrn_vecevent/neuron/testvecevent.hoc
[1545/1728] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1546/1728] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online/common.hoc
[1547/1728] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online/defvar.hoc
[1548/1728] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online/testvecevent.hoc
[1549/1728] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online_psolve_alternate/common.hoc
[1550/1728] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online_psolve_alternate/testvecevent.hoc
[1551/1728] Generating ../../testcorenrn_vecevent/coreneuron_cpu_offline/defvar.hoc
[1552/1728] Generating ../../testcorenrn_vecevent/coreneuron_cpu_offline/common.hoc
[1553/1728] Generating ../../testcorenrn_watch/neuron/common.hoc
[1554/1728] Generating ../../testcorenrn_watch/neuron/defvar.hoc
[1555/1728] Generating ../../testcorenrn_vecevent/coreneuron_cpu_offline/testvecevent.hoc
[1556/1728] Generating ../../testcorenrn_watch/neuron/testwatch.hoc
[1557/1728] Generating ../../testcorenrn_watch/coreneuron_cpu_online/testwatch.hoc
[1558/1728] Generating ../../testcorenrn_watch/coreneuron_cpu_online/defvar.hoc
[1559/1728] Generating ../../testcorenrn_watch/coreneuron_cpu_online_psolve_alternate/common.hoc
[1560/1728] Generating ../../testcorenrn_watch/coreneuron_cpu_online/common.hoc
[1561/1728] Generating ../../testcorenrn_watch/coreneuron_cpu_online_psolve_alternate/testwatch.hoc
[1562/1728] Generating ../../testcorenrn_watch/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1563/1728] Generating ../../testcorenrn_watch/coreneuron_cpu_offline/defvar.hoc
[1564/1728] Generating ../../testcorenrn_watch/coreneuron_cpu_offline/common.hoc
[1565/1728] Generating ../../testcorenrn_watch/coreneuron_cpu_offline/testwatch.hoc
[1566/1728] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect/common.hoc
[1567/1728] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect/defvar.hoc
[1568/1728] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect/testnetstimdirect.hoc
[1569/1728] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect_psolve_alternate/defvar.hoc
[1570/1728] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect_psolve_alternate/common.hoc
[1571/1728] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect_psolve_alternate/testnetstimdirect.hoc
[1572/1728] Building CXX object test/coreneuron/unit/lfp/CMakeFiles/lfp_test_bin.dir/lfp.cpp.o
[1573/1728] Linking CXX executable bin/lfp_test_bin
[1574/1728] Building CXX object test/coreneuron/unit/solver/CMakeFiles/test-solver.dir/test_solver.cpp.o
[1575/1728] Linking CXX executable bin/test-solver
[1576/1728] Building special[-core] for test group reduced_dentate
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead
cfiles =
Mod files: "./Aradi_Ca.mod" "./Aradi_CadepK.mod" "./Aradi_KA.mod" "./Aradi_KDRf.mod" "./Aradi_KDRs.mod" "./Aradi_Na.mod" "./CaBK.mod" "./DGC_M.mod" "./DGC_UK.mod" "./DGC_sAHP.mod" "./Gfluct3.mod" "./LcaMig.mod" "./SH_KIn.mod" "./SH_na8st.mod" "./bgka.mod" "./ccanl.mod" "./ggap.mod" "./gskch.mod" "./holdingi.mod" "./hyperde3.mod" "./ichan2.mod" "./iconc_Ca.mod" "./kcaPR.mod" "./na3n.mod" "./nca.mod" "./spines.mod" "./tca.mod" "./vecevent.mod"
MODOBJS= ./Aradi_Ca.o ./Aradi_CadepK.o ./Aradi_KA.o ./Aradi_KDRf.o ./Aradi_KDRs.o ./Aradi_Na.o ./CaBK.o ./DGC_M.o ./DGC_UK.o ./DGC_sAHP.o ./Gfluct3.o ./LcaMig.o ./SH_KIn.o ./SH_na8st.o ./bgka.o ./ccanl.o ./ggap.o ./gskch.o ./holdingi.o ./hyperde3.o ./ichan2.o ./iconc_Ca.o ./kcaPR.o ./na3n.o ./nca.o ./spines.o ./tca.o ./vecevent.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Aradi_CadepK.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: k [Argument] in exp1 shadows <ion> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Aradi_Ca.mod
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: k [Argument] in exp1 shadows <ion> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: k [Argument] in exp1 shadows <ion> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Aradi_KA.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Aradi_KDRf.mod
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Aradi_KDRs.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Aradi_Na.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/CaBK.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: k [Argument] in exp1 shadows <ion> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: k [Argument] in exp1 shadows <ion> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: k [Argument] in exp1 shadows <ion> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/DGC_UK.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/DGC_M.mod
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/DGC_sAHP.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Gfluct3.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/LcaMig.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: cai [Argument] in h2 shadows <assigned_definition read_ion> definition in NMODL_GLOBAL
[NMODL] [warning] :: SYMTAB :: celsius [Argument] in KTF shadows <assigned_definition extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [warning] :: SYMTAB :: cai [Argument] in h2 shadows <assigned_definition read_ion> definition in NMODL_GLOBAL
[NMODL] [warning] :: SYMTAB :: celsius [Argument] in KTF shadows <assigned_definition extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: cai [Argument] in h2 shadows <assigned_definition read_ion> definition in NMODL_GLOBAL
[NMODL] [warning] :: SYMTAB :: celsius [Argument] in KTF shadows <assigned_definition extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/SH_KIn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/SH_na8st.mod
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Automatically enable sympy_analytic because it exists solver of type sparse
[NMODL] [info] :: Running sympy solve visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/bgka.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/ccanl.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/ggap.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/gskch.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: cai [Argument] in rate shadows <assigned_definition> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: cai [Argument] in rate shadows <assigned_definition> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [warning] :: SYMTAB :: cai [Argument] in rate shadows <assigned_definition> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/holdingi.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hyperde3.mod
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/ichan2.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/iconc_Ca.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/kcaPR.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/na3n.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/nca.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Parsing Units
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/spines.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/tca.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [warning] :: SYMTAB :: celsius [Argument] in KTF shadows <assigned_definition extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [warning] :: SYMTAB :: celsius [Argument] in KTF shadows <assigned_definition extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: celsius [Argument] in KTF shadows <assigned_definition extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Processing arm64/corenrn/mod2c/vecevent.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C backend code generator
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> NMODL .././Aradi_Ca.mod
-> NMODL .././Aradi_KA.mod
-> Compiling mod_func.cpp
-> NMODL .././Aradi_CadepK.mod
Translating Aradi_CadepK.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_CadepK.cpp
Translating Aradi_KA.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_KA.cpp
Translating Aradi_Ca.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_Ca.cpp
Thread Safe
Thread Safe
Thread Safe
-> NMODL .././Aradi_KDRf.mod
-> NMODL .././Aradi_KDRs.mod
-> NMODL .././Aradi_Na.mod
Translating Aradi_KDRf.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_KDRf.cpp
Thread Safe
Translating Aradi_Na.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_Na.cpp
Thread Safe
Translating Aradi_KDRs.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_KDRs.cpp
Thread Safe
-> NMODL .././CaBK.mod
-> NMODL .././DGC_M.mod
-> NMODL .././DGC_UK.mod
Translating DGC_UK.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/DGC_UK.cpp
Thread Safe
Translating DGC_M.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/DGC_M.cpp
Thread Safe
-> NMODL .././DGC_sAHP.mod
Translating CaBK.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/CaBK.cpp
-> NMODL .././Gfluct3.mod
Thread Safe
-> NMODL .././LcaMig.mod
Translating DGC_sAHP.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/DGC_sAHP.cpp
Thread Safe
Translating Gfluct3.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Gfluct3.cpp
Thread Safe
-> NMODL .././SH_KIn.mod
-> NMODL .././SH_na8st.mod
-> NMODL .././bgka.mod
Translating LcaMig.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/LcaMig.cpp
Thread Safe
-> NMODL .././ccanl.mod
Translating SH_KIn.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/SH_KIn.cpp
Thread Safe
Translating SH_na8st.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/SH_na8st.cpp
NEURON's CVode method ignores conservation
Thread Safe
Translating bgka.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/bgka.cpp
Thread Safe
-> NMODL .././ggap.mod
-> NMODL .././gskch.mod
-> NMODL .././holdingi.mod
Translating ccanl.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/ccanl.cpp
Thread Safe
-> NMODL .././hyperde3.mod
Translating gskch.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/gskch.cpp
Translating ggap.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/ggap.cpp
Thread Safe
Thread Safe
Translating holdingi.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/holdingi.cpp
Thread Safe
-> NMODL .././ichan2.mod
-> NMODL .././iconc_Ca.mod
-> NMODL .././kcaPR.mod
Translating hyperde3.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/hyperde3.cpp
Thread Safe
-> NMODL .././na3n.mod
Translating iconc_Ca.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/iconc_Ca.cpp
Thread Safe
Translating ichan2.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/ichan2.cpp
Thread Safe
Translating kcaPR.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/kcaPR.cpp
-> NMODL .././nca.mod
Thread Safe
Translating na3n.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/na3n.cpp
-> NMODL .././spines.mod
Thread Safe
-> NMODL .././tca.mod
-> NMODL .././vecevent.mod
Translating nca.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/nca.cpp
Thread Safe
Translating spines.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/spines.cpp
Thread Safe
Translating tca.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/tca.cpp
Thread Safe
-> Compiling Aradi_Ca.cpp
-> Compiling Aradi_CadepK.cpp
-> Compiling Aradi_KA.cpp
Translating vecevent.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/vecevent.cpp
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
-> Compiling Aradi_KDRf.cpp
-> Compiling Aradi_KDRs.cpp
-> Compiling Aradi_Na.cpp
-> Compiling CaBK.cpp
-> Compiling DGC_M.cpp
-> Compiling DGC_UK.cpp
-> Compiling DGC_sAHP.cpp
-> Compiling Gfluct3.cpp
-> Compiling LcaMig.cpp
-> Compiling SH_KIn.cpp
-> Compiling SH_na8st.cpp
-> Compiling bgka.cpp
-> Compiling ccanl.cpp
-> Compiling ggap.cpp
-> Compiling gskch.cpp
-> Compiling holdingi.cpp
-> Compiling hyperde3.cpp
-> Compiling ichan2.cpp
-> Compiling iconc_Ca.cpp
-> Compiling kcaPR.cpp
-> Compiling na3n.cpp
-> Compiling nca.cpp
-> Compiling spines.cpp
-> Compiling tca.cpp
-> Compiling vecevent.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
[1577/1728] Generating ../../reduced_dentate/neuron/dat/DGC_dendrite_topology.dat
[1578/1728] Generating ../../reduced_dentate/neuron/commonutils.py
[1579/1728] Generating ../../reduced_dentate/neuron/datasets/Control/AACstim.dat
[1580/1728] Generating ../../reduced_dentate/neuron/dat/DGC_dendrite_points.dat
[1581/1728] Generating ../../reduced_dentate/neuron/dat/DGC_spine_density.dat
[1582/1728] Generating ../../reduced_dentate/neuron/dat/axon_st8.dat
[1583/1728] Generating ../../reduced_dentate/neuron/datasets/Control/AAC.dat
[1584/1728] Generating ../../reduced_dentate/neuron/datasets/Control/AACtoGC.dat
[1585/1728] Generating ../../reduced_dentate/neuron/dat/soma_st8.dat
[1586/1728] Generating ../../reduced_dentate/neuron/datasets/Control/AACtoMC.dat
[1587/1728] Generating ../../reduced_dentate/neuron/datasets/Control/BCstim.dat
[1588/1728] Generating ../../reduced_dentate/neuron/datasets/Control/BCtoBC.dat
[1589/1728] Generating ../../reduced_dentate/neuron/datasets/Control/BCtoGC.dat
[1590/1728] Generating ../../reduced_dentate/neuron/datasets/Control/GCtoBC.dat
[1591/1728] Generating ../../reduced_dentate/neuron/datasets/Control/BC.dat
[1592/1728] Generating ../../reduced_dentate/neuron/datasets/Control/GC.dat
[1593/1728] Generating ../../reduced_dentate/neuron/datasets/Control/GCstim.dat
[1594/1728] Generating ../../reduced_dentate/neuron/datasets/Control/GCtoAAC.dat
[1595/1728] Generating ../../reduced_dentate/neuron/datasets/Control/GCtoMC.dat
[1596/1728] Generating ../../reduced_dentate/neuron/datasets/Control/BCtoMC.dat
[1597/1728] Generating ../../reduced_dentate/neuron/datasets/Control/HCstim.dat
[1598/1728] Generating ../../reduced_dentate/neuron/datasets/Control/HC.dat
[1599/1728] Generating ../../reduced_dentate/neuron/datasets/Control/HCtoNGFC.dat
[1600/1728] Generating ../../reduced_dentate/neuron/datasets/Control/HCtoHC.dat
[1601/1728] Generating ../../reduced_dentate/neuron/datasets/Control/HCtoGC.dat
[1602/1728] Generating ../../reduced_dentate/neuron/datasets/Control/HCtoMC.dat
[1603/1728] Generating ../../reduced_dentate/neuron/datasets/Control/MCstim.dat
[1604/1728] Generating ../../reduced_dentate/neuron/datasets/Control/MC.dat
[1605/1728] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoHC.dat
[1606/1728] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoGC.dat
[1607/1728] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoAAC.dat
[1608/1728] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoBC.dat
[1609/1728] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoMC.dat
[1610/1728] Generating ../../reduced_dentate/neuron/datasets/Control/MPP.dat
[1611/1728] Generating ../../reduced_dentate/neuron/datasets/Control/MPP/MPPspiketrain.dat
[1612/1728] Generating ../../reduced_dentate/neuron/datasets/Control/NGFC.dat
[1613/1728] Generating ../../reduced_dentate/neuron/datasets/Control/MPPtoGC.dat
[1614/1728] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCtoAAC.dat
[1615/1728] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCstim.dat
[1616/1728] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCtoGC.dat
[1617/1728] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCtoBC.dat
[1618/1728] Generating ../../reduced_dentate/neuron/datasets/Control/gapjunctions.dat
[1619/1728] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCtoNGFC.dat
[1620/1728] Generating ../../reduced_dentate/neuron/datasets/Control/celltypes.dat
[1621/1728] Generating ../../reduced_dentate/neuron/datasets/Control/connectivity.dat
[1622/1728] Generating ../../reduced_dentate/neuron/datasets/Control/gjNGFCtoNGFC.dat
[1623/1728] Generating ../../reduced_dentate/neuron/datasets/Control/stim.dat
[1624/1728] Generating ../../reduced_dentate/neuron/datasets/Control/gjBCtoBC.dat
[1625/1728] Generating ../../reduced_dentate/neuron/datasets/Control/gjHCtoHC.dat
[1626/1728] Generating ../../reduced_dentate/neuron/datasets/Control/syndecay.dat
[1627/1728] Generating ../../reduced_dentate/neuron/datasets/Control/syncomp.dat
[1628/1728] Generating ../../reduced_dentate/neuron/datasets/Control/syndend.dat
[1629/1728] Generating ../../reduced_dentate/neuron/datasets/Control/synerev.dat
[1630/1728] Generating ../../reduced_dentate/neuron/datasets/Control/synrise.dat
[1631/1728] Generating ../../reduced_dentate/neuron/lib.hoc
[1632/1728] Generating ../../reduced_dentate/neuron/parameters/Control.hoc
[1633/1728] Generating ../../reduced_dentate/neuron/datasets/Control/synweight.dat
[1634/1728] Generating ../../reduced_dentate/neuron/main.hoc
[1635/1728] Generating ../../reduced_dentate/neuron/templates/AxoAxonicCell.hoc
[1636/1728] Generating ../../reduced_dentate/neuron/templates/BasketCell.hoc
[1637/1728] Generating ../../reduced_dentate/neuron/run.hoc
[1638/1728] Generating ../../reduced_dentate/neuron/templates/DGC.hoc
[1639/1728] Generating ../../reduced_dentate/neuron/templates/DGC_Biophysics_passive_na8st.hoc
[1640/1728] Generating ../../reduced_dentate/neuron/templates/DGC_Morphology_from_file_na8st.hoc
[1641/1728] Generating ../../reduced_dentate/neuron/templates/DGC_Parameters_passive_na8st.hoc
[1642/1728] Generating ../../reduced_dentate/neuron/templates/HICAPCell.hoc
[1643/1728] Generating ../../reduced_dentate/neuron/templates/DGC_Synapses.hoc
[1644/1728] Generating ../../reduced_dentate/neuron/templates/Dict.hoc
[1645/1728] Generating ../../reduced_dentate/neuron/templates/MPPCell.hoc
[1646/1728] Generating ../../reduced_dentate/neuron/templates/NGFCell.hoc
[1647/1728] Generating ../../reduced_dentate/neuron/templates/HIPPCell.hoc
[1648/1728] Generating ../../reduced_dentate/neuron/templates/MossyCell.hoc
[1649/1728] Generating ../../reduced_dentate/neuron/templates/Value.hoc
[1650/1728] Generating ../../reduced_dentate/neuron/templates/defvar.hoc
[1651/1728] Generating ../../reduced_dentate/neuron/templates/ranstream.hoc
[1652/1728] Generating ../../reduced_dentate/neuron/templates/StimCell.hoc
[1653/1728] Generating ../../reduced_dentate/coreneuron_cpu/dat/DGC_dendrite_points.dat
[1654/1728] Generating ../../reduced_dentate/coreneuron_cpu/dat/DGC_dendrite_topology.dat
[1655/1728] Generating ../../reduced_dentate/coreneuron_cpu/commonutils.py
[1656/1728] Generating ../../reduced_dentate/coreneuron_cpu/dat/DGC_spine_density.dat
[1657/1728] Generating ../../reduced_dentate/coreneuron_cpu/dat/axon_st8.dat
[1658/1728] Generating ../../reduced_dentate/coreneuron_cpu/dat/soma_st8.dat
[1659/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/AAC.dat
[1660/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/AACstim.dat
[1661/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/AACtoMC.dat
[1662/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BCstim.dat
[1663/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/AACtoGC.dat
[1664/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BC.dat
[1665/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BCtoBC.dat
[1666/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BCtoGC.dat
[1667/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BCtoMC.dat
[1668/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GCstim.dat
[1669/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GCtoBC.dat
[1670/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GC.dat
[1671/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GCtoAAC.dat
[1672/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GCtoMC.dat
[1673/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HC.dat
[1674/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCtoGC.dat
[1675/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCstim.dat
[1676/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCtoHC.dat
[1677/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCtoMC.dat
[1678/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MC.dat
[1679/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCstim.dat
[1680/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCtoNGFC.dat
[1681/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoGC.dat
[1682/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoAAC.dat
[1683/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoHC.dat
[1684/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoMC.dat
[1685/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoBC.dat
[1686/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MPP.dat
[1687/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MPP/MPPspiketrain.dat
[1688/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MPPtoGC.dat
[1689/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFC.dat
[1690/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCtoBC.dat
[1691/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCtoGC.dat
[1692/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCstim.dat
[1693/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCtoAAC.dat
[1694/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/celltypes.dat
[1695/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCtoNGFC.dat
[1696/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/connectivity.dat
[1697/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/gapjunctions.dat
[1698/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/gjBCtoBC.dat
[1699/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/gjHCtoHC.dat
[1700/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/gjNGFCtoNGFC.dat
[1701/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/stim.dat
[1702/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/syncomp.dat
[1703/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/syndecay.dat
[1704/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/synerev.dat
[1705/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/synrise.dat
[1706/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/synweight.dat
[1707/1728] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/syndend.dat
[1708/1728] Generating ../../reduced_dentate/coreneuron_cpu/main.hoc
[1709/1728] Generating ../../reduced_dentate/coreneuron_cpu/run.hoc
[1710/1728] Generating ../../reduced_dentate/coreneuron_cpu/lib.hoc
[1711/1728] Generating ../../reduced_dentate/coreneuron_cpu/parameters/Control.hoc
[1712/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/AxoAxonicCell.hoc
[1713/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC_Biophysics_passive_na8st.hoc
[1714/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/BasketCell.hoc
[1715/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC.hoc
[1716/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC_Morphology_from_file_na8st.hoc
[1717/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC_Synapses.hoc
[1718/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/Dict.hoc
[1719/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC_Parameters_passive_na8st.hoc
[1720/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/HICAPCell.hoc
[1721/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/HIPPCell.hoc
[1722/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/NGFCell.hoc
[1723/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/MossyCell.hoc
[1724/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/MPPCell.hoc
[1725/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/StimCell.hoc
[1726/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/Value.hoc
[1727/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/defvar.hoc
[1728/1728] Generating ../../reduced_dentate/coreneuron_cpu/templates/ranstream.hoc
$ ccache -vs 2>/dev/null
Cache directory: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/ccache
Config file: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/ccache/ccache.conf
System config file: /opt/homebrew/Cellar/ccache/4.7.2/etc/ccache.conf
Stats updated: Mon Jun 19 23:46:25 2023
Cacheable calls: 572 / 572 (100.0%)
Hits: 0 / 572 ( 0.00%)
Direct: 0
Preprocessed: 0
Misses: 572 / 572 (100.0%)
Successful lookups:
Direct: 0 / 572 ( 0.00%)
Preprocessed: 0 / 572 ( 0.00%)
Local storage:
Cache size (GB): 0.65 / 5.00 (12.95%)
Files: 5379
Hits: 0 / 572 ( 0.00%)
Misses: 572 / 572 (100.0%)
Reads: 1144
Writes: 1077
$ echo $'[install]\nprefix='>src/nrnpython/setup.cfg
$ cat src/nrnpython/setup.cfg
[install]
prefix=
$ ctest --output-on-failure
Test project /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build
Start 213: external_ringtest::coreneuron_cpu_mpi_offline::preparation
Start 221: testcorenrn_bbcore::coreneuron_cpu_offline::preparation
1/272 Test #221: testcorenrn_bbcore::coreneuron_cpu_offline::preparation .................. Passed 1.08 sec
Start 227: testcorenrn_conc::coreneuron_cpu_offline::preparation
2/272 Test #227: testcorenrn_conc::coreneuron_cpu_offline::preparation .................... Passed 0.29 sec
Start 233: testcorenrn_deriv::coreneuron_cpu_offline::preparation
3/272 Test #213: external_ringtest::coreneuron_cpu_mpi_offline::preparation ............... Passed 1.63 sec
Start 239: testcorenrn_gf::coreneuron_cpu_offline::preparation
4/272 Test #233: testcorenrn_deriv::coreneuron_cpu_offline::preparation ................... Passed 0.30 sec
Start 245: testcorenrn_kin::coreneuron_cpu_offline::preparation
5/272 Test #239: testcorenrn_gf::coreneuron_cpu_offline::preparation ...................... Passed 0.31 sec
Start 250: testcorenrn_patstim::coreneuron_cpu_offline::preparation
6/272 Test #245: testcorenrn_kin::coreneuron_cpu_offline::preparation ..................... Passed 0.29 sec
Start 132: pyinit::nrniv_py3.10_python_check_sys_path::preparation
7/272 Test #132: pyinit::nrniv_py3.10_python_check_sys_path::preparation .................. Passed 0.02 sec
Start 134: pyinit::nrniv_py3.10_nrnpython_check_sys_path::preparation
8/272 Test #134: pyinit::nrniv_py3.10_nrnpython_check_sys_path::preparation ............... Passed 0.02 sec
Start 136: pyinit::nrniv_py3.10_python_check_sys_prefix::preparation
9/272 Test #136: pyinit::nrniv_py3.10_python_check_sys_prefix::preparation ................ Passed 0.02 sec
Start 138: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix::preparation
10/272 Test #138: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix::preparation ............. Passed 0.02 sec
Start 140: pyinit::nrniv_py3.10_python_check_sys_exec_prefix::preparation
11/272 Test #140: pyinit::nrniv_py3.10_python_check_sys_exec_prefix::preparation ........... Passed 0.02 sec
Start 142: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix::preparation
12/272 Test #142: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix::preparation ........ Passed 0.02 sec
Start 144: pyinit::nrniv_py3.10_python_check_sys_base_prefix::preparation
13/272 Test #144: pyinit::nrniv_py3.10_python_check_sys_base_prefix::preparation ........... Passed 0.02 sec
Start 146: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix::preparation
14/272 Test #146: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix::preparation ........ Passed 0.02 sec
Start 148: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix::preparation
15/272 Test #148: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix::preparation ...... Passed 0.02 sec
Start 150: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix::preparation
16/272 Test #150: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix::preparation ... Passed 0.02 sec
Start 152: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding::preparation
17/272 Test #152: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding::preparation ....... Passed 0.02 sec
Start 154: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding::preparation
18/272 Test #154: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding::preparation .... Passed 0.02 sec
Start 156: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding::preparation
19/272 Test #156: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding::preparation ........ Passed 0.02 sec
Start 158: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding::preparation
20/272 Test #250: testcorenrn_patstim::coreneuron_cpu_offline::preparation ................. Passed 0.32 sec
Start 256: testcorenrn_vecplay::coreneuron_cpu_offline::preparation
21/272 Test #158: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding::preparation ..... Passed 0.02 sec
Start 160: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding::preparation
22/272 Test #160: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding::preparation ....... Passed 0.02 sec
Start 162: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding::preparation
23/272 Test #162: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding::preparation .... Passed 0.02 sec
Start 168: pyinit::nrniv_pydef_python_check_sys_path::preparation
24/272 Test #168: pyinit::nrniv_pydef_python_check_sys_path::preparation ................... Passed 0.02 sec
Start 170: pyinit::nrniv_pydef_nrnpython_check_sys_path::preparation
25/272 Test #170: pyinit::nrniv_pydef_nrnpython_check_sys_path::preparation ................ Passed 0.02 sec
Start 172: pyinit::nrniv_pydef_python_check_sys_prefix::preparation
26/272 Test #172: pyinit::nrniv_pydef_python_check_sys_prefix::preparation ................. Passed 0.02 sec
Start 174: pyinit::nrniv_pydef_nrnpython_check_sys_prefix::preparation
27/272 Test #174: pyinit::nrniv_pydef_nrnpython_check_sys_prefix::preparation .............. Passed 0.02 sec
Start 176: pyinit::nrniv_pydef_python_check_sys_exec_prefix::preparation
28/272 Test #176: pyinit::nrniv_pydef_python_check_sys_exec_prefix::preparation ............ Passed 0.02 sec
Start 178: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix::preparation
29/272 Test #178: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix::preparation ......... Passed 0.02 sec
Start 180: pyinit::nrniv_pydef_python_check_sys_base_prefix::preparation
30/272 Test #180: pyinit::nrniv_pydef_python_check_sys_base_prefix::preparation ............ Passed 0.02 sec
Start 182: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix::preparation
31/272 Test #182: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix::preparation ......... Passed 0.02 sec
Start 184: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix::preparation
32/272 Test #184: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix::preparation ....... Passed 0.02 sec
Start 186: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix::preparation
33/272 Test #186: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix::preparation .... Passed 0.02 sec
Start 188: pyinit::nrniv_pydef_python_check_sys_stderr.encoding::preparation
34/272 Test #188: pyinit::nrniv_pydef_python_check_sys_stderr.encoding::preparation ........ Passed 0.02 sec
Start 190: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding::preparation
35/272 Test #190: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding::preparation ..... Passed 0.02 sec
Start 192: pyinit::nrniv_pydef_python_check_sys_stdin.encoding::preparation
36/272 Test #256: testcorenrn_vecplay::coreneuron_cpu_offline::preparation ................. Passed 0.30 sec
Start 268: testcorenrn_watch::coreneuron_cpu_offline::preparation
37/272 Test #192: pyinit::nrniv_pydef_python_check_sys_stdin.encoding::preparation ......... Passed 0.02 sec
Start 194: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding::preparation
38/272 Test #194: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding::preparation ...... Passed 0.02 sec
Start 196: pyinit::nrniv_pydef_python_check_sys_stdout.encoding::preparation
39/272 Test #196: pyinit::nrniv_pydef_python_check_sys_stdout.encoding::preparation ........ Passed 0.02 sec
Start 198: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding::preparation
40/272 Test #198: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding::preparation ..... Passed 0.02 sec
Start 206: external_ringtest::neuron
41/272 Test #268: testcorenrn_watch::coreneuron_cpu_offline::preparation ................... Passed 0.31 sec
Start 207: external_ringtest::neuron_mpi
42/272 Test #207: external_ringtest::neuron_mpi ............................................ Passed 2.45 sec
Start 208: external_ringtest::neuron_mpi_python
43/272 Test #206: external_ringtest::neuron ................................................ Passed 4.12 sec
Start 217: testcorenrn_bbcore::neuron
44/272 Test #217: testcorenrn_bbcore::neuron ............................................... Passed 0.30 sec
Start 218: testcorenrn_bbcore::coreneuron_cpu_online
45/272 Test #218: testcorenrn_bbcore::coreneuron_cpu_online ................................ Passed 0.54 sec
Start 219: testcorenrn_bbcore::coreneuron_cpu_online_psolve_alternate
46/272 Test #208: external_ringtest::neuron_mpi_python ..................................... Passed 2.86 sec
Start 209: external_ringtest::coreneuron_cpu_mpi_offline_saverestore
47/272 Test #219: testcorenrn_bbcore::coreneuron_cpu_online_psolve_alternate ............... Passed 0.63 sec
Start 220: testcorenrn_bbcore::coreneuron_cpu_offline
48/272 Test #220: testcorenrn_bbcore::coreneuron_cpu_offline ............................... Passed 0.41 sec
Start 223: testcorenrn_conc::neuron
49/272 Test #223: testcorenrn_conc::neuron ................................................. Passed 0.34 sec
Start 224: testcorenrn_conc::coreneuron_cpu_online
50/272 Test #224: testcorenrn_conc::coreneuron_cpu_online .................................. Passed 0.57 sec
Start 225: testcorenrn_conc::coreneuron_cpu_online_psolve_alternate
51/272 Test #209: external_ringtest::coreneuron_cpu_mpi_offline_saverestore ................ Passed 1.81 sec
Start 210: external_ringtest::coreneuron_cpu_mpi
52/272 Test #225: testcorenrn_conc::coreneuron_cpu_online_psolve_alternate ................. Passed 0.63 sec
Start 226: testcorenrn_conc::coreneuron_cpu_offline
53/272 Test #226: testcorenrn_conc::coreneuron_cpu_offline ................................. Passed 0.28 sec
Start 229: testcorenrn_deriv::neuron
54/272 Test #229: testcorenrn_deriv::neuron ................................................ Passed 0.33 sec
Start 230: testcorenrn_deriv::coreneuron_cpu_online
55/272 Test #210: external_ringtest::coreneuron_cpu_mpi .................................... Passed 0.96 sec
Start 211: external_ringtest::coreneuron_cpu_mpi_python
56/272 Test #230: testcorenrn_deriv::coreneuron_cpu_online ................................. Passed 0.59 sec
Start 231: testcorenrn_deriv::coreneuron_cpu_online_psolve_alternate
57/272 Test #231: testcorenrn_deriv::coreneuron_cpu_online_psolve_alternate ................ Passed 0.84 sec
Start 232: testcorenrn_deriv::coreneuron_cpu_offline
58/272 Test #211: external_ringtest::coreneuron_cpu_mpi_python ............................. Passed 1.35 sec
Start 212: external_ringtest::coreneuron_cpu_mpi_offline
59/272 Test #232: testcorenrn_deriv::coreneuron_cpu_offline ................................ Passed 0.27 sec
Start 241: testcorenrn_kin::neuron
60/272 Test #241: testcorenrn_kin::neuron .................................................. Passed 0.30 sec
Start 242: testcorenrn_kin::coreneuron_cpu_online
61/272 Test #212: external_ringtest::coreneuron_cpu_mpi_offline ............................ Passed 0.54 sec
Start 235: testcorenrn_gf::neuron
62/272 Test #242: testcorenrn_kin::coreneuron_cpu_online ................................... Passed 0.62 sec
Start 243: testcorenrn_kin::coreneuron_cpu_online_psolve_alternate
63/272 Test #243: testcorenrn_kin::coreneuron_cpu_online_psolve_alternate .................. Passed 0.61 sec
Start 244: testcorenrn_kin::coreneuron_cpu_offline
64/272 Test #244: testcorenrn_kin::coreneuron_cpu_offline .................................. Passed 0.27 sec
Start 1: cmd_interface_test
65/272 Test #1: cmd_interface_test ....................................................... Passed 0.37 sec
Start 2: interleave_info_constructor_test
66/272 Test #235: testcorenrn_gf::neuron ................................................... Passed 2.03 sec
Start 236: testcorenrn_gf::coreneuron_cpu_online
67/272 Test #2: interleave_info_constructor_test ......................................... Passed 0.22 sec
Start 3: alignment_test
68/272 Test #3: alignment_test ........................................................... Passed 0.22 sec
Start 4: queuing_test
69/272 Test #4: queuing_test ............................................................. Passed 0.23 sec
Start 5: test-solver
70/272 Test #236: testcorenrn_gf::coreneuron_cpu_online .................................... Passed 0.76 sec
Start 237: testcorenrn_gf::coreneuron_cpu_online_psolve_alternate
71/272 Test #5: test-solver .............................................................. Passed 0.67 sec
Start 6: lfp_test
72/272 Test #6: lfp_test ................................................................. Passed 0.44 sec
Start 7: unit_tests::testneuron
73/272 Test #7: unit_tests::testneuron ................................................... Passed 0.32 sec
Start 8: unit_tests::testneuron_soa_erase_calls_terminate
74/272 Test #8: unit_tests::testneuron_soa_erase_calls_terminate ......................... Passed 0.10 sec
Start 9: ringtest
75/272 Test #9: ringtest ................................................................. Passed 0.52 sec
Start 10: connect_dend
76/272 Test #237: testcorenrn_gf::coreneuron_cpu_online_psolve_alternate ................... Passed 1.78 sec
Start 238: testcorenrn_gf::coreneuron_cpu_offline
77/272 Test #10: connect_dend ............................................................. Passed 0.16 sec
Start 11: mpi_init::nrniv_mpiopt
78/272 Test #238: testcorenrn_gf::coreneuron_cpu_offline ................................... Passed 0.41 sec
Start 247: testcorenrn_patstim::neuron
79/272 Test #11: mpi_init::nrniv_mpiopt ................................................... Passed 0.31 sec
Start 12: mpi_init::nrniv_nrnmpi_init
80/272 Test #12: mpi_init::nrniv_nrnmpi_init .............................................. Passed 0.32 sec
Start 13: mpi_init::python_nrnmpi_init
81/272 Test #247: testcorenrn_patstim::neuron .............................................. Passed 0.38 sec
Start 248: testcorenrn_patstim::coreneuron_cpu_offline_saverestore
82/272 Test #13: mpi_init::python_nrnmpi_init ............................................. Passed 0.68 sec
Start 14: mpi_init::python_mpienv
83/272 Test #248: testcorenrn_patstim::coreneuron_cpu_offline_saverestore .................. Passed 0.96 sec
Start 249: testcorenrn_patstim::coreneuron_cpu_offline
84/272 Test #249: testcorenrn_patstim::coreneuron_cpu_offline .............................. Passed 0.40 sec
Start 252: testcorenrn_vecplay::neuron
85/272 Test #14: mpi_init::python_mpienv .................................................. Passed 0.74 sec
Start 15: mpi_init::nrniv_mpiexec_mpiopt
86/272 Test #15: mpi_init::nrniv_mpiexec_mpiopt ........................................... Passed 0.26 sec
Start 16: mpi_init::nrniv_mpiexec_nrnmpi_init
87/272 Test #252: testcorenrn_vecplay::neuron .............................................. Passed 0.36 sec
Start 253: testcorenrn_vecplay::coreneuron_cpu_online
88/272 Test #16: mpi_init::nrniv_mpiexec_nrnmpi_init ...................................... Passed 0.26 sec
Start 17: mpi_init::python_mpiexec_nrnmpi_init
89/272 Test #253: testcorenrn_vecplay::coreneuron_cpu_online ............................... Passed 0.71 sec
Start 254: testcorenrn_vecplay::coreneuron_cpu_online_psolve_alternate
90/272 Test #17: mpi_init::python_mpiexec_nrnmpi_init ..................................... Passed 0.78 sec
Start 18: mpi_init::python_mpiexec_mpienv
91/272 Test #254: testcorenrn_vecplay::coreneuron_cpu_online_psolve_alternate .............. Passed 0.79 sec
Start 255: testcorenrn_vecplay::coreneuron_cpu_offline
92/272 Test #18: mpi_init::python_mpiexec_mpienv .......................................... Passed 0.79 sec
Start 19: pynrn::basic_tests_py3.10
93/272 Test #255: testcorenrn_vecplay::coreneuron_cpu_offline .............................. Passed 0.33 sec
Start 264: testcorenrn_watch::neuron
94/272 Test #264: testcorenrn_watch::neuron ................................................ Passed 0.54 sec
Start 265: testcorenrn_watch::coreneuron_cpu_online
95/272 Test #265: testcorenrn_watch::coreneuron_cpu_online ................................. Passed 0.65 sec
Start 266: testcorenrn_watch::coreneuron_cpu_online_psolve_alternate
96/272 Test #266: testcorenrn_watch::coreneuron_cpu_online_psolve_alternate ................ Passed 0.92 sec
Start 267: testcorenrn_watch::coreneuron_cpu_offline
97/272 Test #267: testcorenrn_watch::coreneuron_cpu_offline ................................ Passed 0.28 sec
Start 270: testcorenrn_netstimdirect::direct_netstimdirect
98/272 Test #270: testcorenrn_netstimdirect::direct_netstimdirect .......................... Passed 0.84 sec
Start 271: testcorenrn_netstimdirect::direct_netstimdirect_psolve_alternate
99/272 Test #271: testcorenrn_netstimdirect::direct_netstimdirect_psolve_alternate ......... Passed 0.89 sec
Start 20: datahandle::datahandle_tests
Start 21: coverage_tests::cover_tests
100/272 Test #20: datahandle::datahandle_tests ............................................. Passed 0.86 sec
Start 22: example_nmodl::ca_ap_hoc
101/272 Test #21: coverage_tests::cover_tests .............................................. Passed 1.02 sec
Start 23: example_nmodl::cabpump_hoc
102/272 Test #22: example_nmodl::ca_ap_hoc ................................................. Passed 0.41 sec
Start 24: example_nmodl::cachan_hoc
103/272 Test #23: example_nmodl::cabpump_hoc ............................................... Passed 0.28 sec
Start 25: example_nmodl::cadif_hoc
104/272 Test #24: example_nmodl::cachan_hoc ................................................ Passed 0.14 sec
Start 26: example_nmodl::cadifusl_hoc
105/272 Test #25: example_nmodl::cadif_hoc ................................................. Passed 0.17 sec
Start 27: example_nmodl::cadifusl1_hoc
106/272 Test #26: example_nmodl::cadifusl_hoc .............................................. Passed 0.19 sec
Start 28: example_nmodl::cadifusl2_hoc
107/272 Test #27: example_nmodl::cadifusl1_hoc ............................................. Passed 0.25 sec
Start 29: example_nmodl::cagk_hoc
108/272 Test #28: example_nmodl::cadifusl2_hoc ............................................. Passed 0.16 sec
Start 30: example_nmodl::capmp_hoc
109/272 Test #29: example_nmodl::cagk_hoc .................................................. Passed 0.14 sec
Start 31: example_nmodl::cv_hoc
110/272 Test #30: example_nmodl::capmp_hoc ................................................. Passed 0.21 sec
Start 32: example_nmodl::fh_hoc
111/272 Test #31: example_nmodl::cv_hoc .................................................... Passed 0.14 sec
Start 33: example_nmodl::fit1_hoc
112/272 Test #32: example_nmodl::fh_hoc .................................................... Passed 0.14 sec
Start 34: example_nmodl::gap_hoc
113/272 Test #33: example_nmodl::fit1_hoc .................................................. Passed 0.14 sec
Start 35: example_nmodl::hh1_hoc
114/272 Test #34: example_nmodl::gap_hoc ................................................... Passed 0.15 sec
Start 36: example_nmodl::hhvect_hoc
115/272 Test #35: example_nmodl::hh1_hoc ................................................... Passed 0.14 sec
Start 37: example_nmodl::nacum_hoc
116/272 Test #36: example_nmodl::hhvect_hoc ................................................ Passed 0.14 sec
Start 38: example_nmodl::nadifl1_hoc
117/272 Test #37: example_nmodl::nacum_hoc ................................................. Passed 0.14 sec
Start 39: example_nmodl::nadifl2_hoc
118/272 Test #38: example_nmodl::nadifl1_hoc ............................................... Passed 0.18 sec
Start 40: example_nmodl::nadifl3_hoc
119/272 Test #39: example_nmodl::nadifl2_hoc ............................................... Passed 0.17 sec
Start 41: example_nmodl::nadifl4_hoc
120/272 Test #40: example_nmodl::nadifl3_hoc ............................................... Passed 0.26 sec
Start 42: example_nmodl::obj_ex_hoc
121/272 Test #41: example_nmodl::nadifl4_hoc ............................................... Passed 0.33 sec
Start 43: example_nmodl::order_hoc
122/272 Test #42: example_nmodl::obj_ex_hoc ................................................ Passed 0.15 sec
Start 44: example_nmodl::passiv_hoc
123/272 Test #43: example_nmodl::order_hoc ................................................. Passed 0.17 sec
Start 45: example_nmodl::stim1_hoc
124/272 Test #44: example_nmodl::passiv_hoc ................................................ Passed 0.14 sec
Start 46: example_nmodl::syn1_hoc
125/272 Test #45: example_nmodl::stim1_hoc ................................................. Passed 0.22 sec
Start 47: example_nmodl::synpre_hoc
126/272 Test #46: example_nmodl::syn1_hoc .................................................. Passed 0.24 sec
Start 48: example_nmodl::tstextrn_hoc
127/272 Test #48: example_nmodl::tstextrn_hoc .............................................. Passed 0.16 sec
Start 49: example_nmodl::tstpnt1_hoc
128/272 Test #47: example_nmodl::synpre_hoc ................................................ Passed 0.23 sec
Start 50: example_nmodl::tstpnt2_hoc
129/272 Test #19: pynrn::basic_tests_py3.10 ................................................ Passed 7.87 sec
Start 51: example_nmodl::tstvec_hoc
130/272 Test #49: example_nmodl::tstpnt1_hoc ............................................... Passed 0.14 sec
Start 52: example_nmodl::cagkftab_py
131/272 Test #50: example_nmodl::tstpnt2_hoc ............................................... Passed 0.14 sec
Start 53: example_nmodl::expsynspine_py
132/272 Test #51: example_nmodl::tstvec_hoc ................................................ Passed 0.13 sec
Start 54: example_nmodl::nonlin_py
133/272 Test #53: example_nmodl::expsynspine_py ............................................ Passed 0.76 sec
Start 55: example_nmodl::tstpnt1_py
134/272 Test #55: example_nmodl::tstpnt1_py ................................................ Passed 0.51 sec
Start 56: example_nmodl::tstpnt2_py
135/272 Test #56: example_nmodl::tstpnt2_py ................................................ Passed 0.50 sec
Start 57: example_nmodl::vlag_py
136/272 Test #57: example_nmodl::vlag_py ................................................... Passed 0.55 sec
Start 58: hoctests::test_loadbal_hoc
137/272 Test #54: example_nmodl::nonlin_py ................................................. Passed 2.24 sec
Start 59: hoctests::perf1_hoc
138/272 Test #58: hoctests::test_loadbal_hoc ............................................... Passed 0.39 sec
Start 60: hoctests::test1_hoc
139/272 Test #60: hoctests::test1_hoc ...................................................... Passed 0.27 sec
Start 61: hoctests::test2_hoc
140/272 Test #61: hoctests::test2_hoc ...................................................... Passed 0.17 sec
Start 62: hoctests::test3_hoc
141/272 Test #62: hoctests::test3_hoc ...................................................... Passed 0.14 sec
Start 63: hoctests::test4_hoc
142/272 Test #63: hoctests::test4_hoc ...................................................... Passed 0.12 sec
Start 64: hoctests::test5_hoc
143/272 Test #64: hoctests::test5_hoc ...................................................... Passed 0.12 sec
Start 65: hoctests::test6_hoc
144/272 Test #59: hoctests::perf1_hoc ...................................................... Passed 1.21 sec
Start 66: hoctests::test7_hoc
145/272 Test #52: example_nmodl::cagkftab_py ............................................... Passed 3.66 sec
Start 67: hoctests::test8_hoc
146/272 Test #65: hoctests::test6_hoc ...................................................... Passed 0.13 sec
Start 68: hoctests::test9_hoc
147/272 Test #66: hoctests::test7_hoc ...................................................... Passed 0.14 sec
Start 69: hoctests::test_hocGUI2_py
148/272 Test #67: hoctests::test8_hoc ...................................................... Passed 0.13 sec
Start 70: hoctests::test_kschan_py
149/272 Test #68: hoctests::test9_hoc ...................................................... Passed 0.13 sec
Start 71: hoctests::test_neurondemo_py
150/272 Test #69: hoctests::test_hocGUI2_py ................................................ Passed 0.78 sec
Start 72: hoctests::test_nrniv-launch_py
151/272 Test #71: hoctests::test_neurondemo_py ............................................. Passed 0.69 sec
Start 73: hoctests::test_shape_py
152/272 Test #70: hoctests::test_kschan_py ................................................. Passed 0.79 sec
Start 74: hoctests::test_thread_partition_py
153/272 Test #72: hoctests::test_nrniv-launch_py ........................................... Passed 0.47 sec
Start 75: hoctests::test1_py
154/272 Test #73: hoctests::test_shape_py .................................................. Passed 0.69 sec
Start 76: hoctests::test2_py
155/272 Test #74: hoctests::test_thread_partition_py ....................................... Passed 1.01 sec
Start 77: hoctests::test9_py
156/272 Test #75: hoctests::test1_py ....................................................... Passed 0.81 sec
Start 83: coreneuron_standalone::test_nrn_corenrn_standalone
157/272 Test #76: hoctests::test2_py ....................................................... Passed 0.78 sec
Start 84: nmodl_tests::test_table
158/272 Test #77: hoctests::test9_py ....................................................... Passed 0.61 sec
Start 85: nmodl_tests::test_disc
159/272 Test #84: nmodl_tests::test_table .................................................. Passed 0.99 sec
Start 86: nmodl_tests::test_function_table
160/272 Test #83: coreneuron_standalone::test_nrn_corenrn_standalone ....................... Passed 1.24 sec
Start 87: nmodl_tests::test_kinetic
161/272 Test #85: nmodl_tests::test_disc ................................................... Passed 0.86 sec
Start 88: coreneuron_modtests::version_macros
162/272 Test #86: nmodl_tests::test_function_table ......................................... Passed 0.89 sec
Start 89: coreneuron_modtests::fornetcon_py_cpu
163/272 Test #87: nmodl_tests::test_kinetic ................................................ Passed 0.87 sec
Start 90: coreneuron_modtests::direct_py_cpu
164/272 Test #88: coreneuron_modtests::version_macros ...................................... Passed 1.02 sec
Start 91: coreneuron_modtests::direct_hoc_cpu
165/272 Test #89: coreneuron_modtests::fornetcon_py_cpu .................................... Passed 0.95 sec
Start 92: coreneuron_modtests::spikes_py_cpu
166/272 Test #90: coreneuron_modtests::direct_py_cpu ....................................... Passed 0.91 sec
Start 93: coreneuron_modtests::spikes_file_mode_py_cpu
167/272 Test #91: coreneuron_modtests::direct_hoc_cpu ...................................... Passed 0.82 sec
Start 94: coreneuron_modtests::fast_imem_py_cpu
168/272 Test #93: coreneuron_modtests::spikes_file_mode_py_cpu ............................. Passed 0.84 sec
Start 95: coreneuron_modtests::datareturn_py_cpu
169/272 Test #92: coreneuron_modtests::spikes_py_cpu ....................................... Passed 0.94 sec
Start 96: coreneuron_modtests::test_units_py_cpu
170/272 Test #94: coreneuron_modtests::fast_imem_py_cpu .................................... Passed 1.33 sec
Start 97: coreneuron_modtests::test_netmove_py_cpu
171/272 Test #96: coreneuron_modtests::test_units_py_cpu ................................... Passed 0.75 sec
Start 98: coreneuron_modtests::test_pointer_py_cpu
172/272 Test #95: coreneuron_modtests::datareturn_py_cpu ................................... Passed 1.54 sec
Start 99: coreneuron_modtests::test_watchrange_py_cpu
173/272 Test #97: coreneuron_modtests::test_netmove_py_cpu ................................. Passed 1.27 sec
Start 100: coreneuron_modtests::test_psolve_py_cpu
174/272 Test #99: coreneuron_modtests::test_watchrange_py_cpu .............................. Passed 0.70 sec
Start 101: coreneuron_modtests::test_ba_py_cpu
175/272 Test #101: coreneuron_modtests::test_ba_py_cpu ...................................... Passed 0.75 sec
Start 102: coreneuron_modtests::test_natrans_py_cpu
176/272 Test #100: coreneuron_modtests::test_psolve_py_cpu .................................. Passed 1.20 sec
Start 106: nmodl_tests_coreneuron::test_table_coreneuron_cpu
177/272 Test #102: coreneuron_modtests::test_natrans_py_cpu ................................. Passed 0.74 sec
Start 107: nmodl_tests_coreneuron::test_disc_coreneuron_cpu
178/272 Test #106: nmodl_tests_coreneuron::test_table_coreneuron_cpu ........................ Passed 0.74 sec
Start 108: nmodl_tests_coreneuron::test_function_table_coreneuron_cpu
179/272 Test #98: coreneuron_modtests::test_pointer_py_cpu ................................. Passed 3.53 sec
Start 109: nmodl_tests_coreneuron::test_kinetic_coreneuron_cpu
180/272 Test #107: nmodl_tests_coreneuron::test_disc_coreneuron_cpu ......................... Passed 0.77 sec
Start 110: modlunit_unitstest
181/272 Test #108: nmodl_tests_coreneuron::test_function_table_coreneuron_cpu ............... Passed 0.74 sec
Start 111: modlunit_hh
182/272 Test #110: modlunit_unitstest ....................................................... Passed 0.23 sec
Start 112: modlunit_stim
183/272 Test #111: modlunit_hh .............................................................. Passed 0.21 sec
Start 113: modlunit_pattern
184/272 Test #112: modlunit_stim ............................................................ Passed 0.10 sec
Start 114: pyinit::nrniv_script.py
185/272 Test #113: modlunit_pattern ......................................................... Passed 0.13 sec
Start 115: pyinit::nrniv_script.py_error
186/272 Test #114: pyinit::nrniv_script.py .................................................. Passed 0.14 sec
Start 116: pyinit::nrniv_two_scripts.py
187/272 Test #115: pyinit::nrniv_script.py_error ............................................ Passed 0.13 sec
Start 117: pyinit::nrniv_two_scripts.py_error_check_code
188/272 Test #109: nmodl_tests_coreneuron::test_kinetic_coreneuron_cpu ...................... Passed 0.68 sec
Start 118: pyinit::nrniv_two_scripts.py_error_check_output
189/272 Test #116: pyinit::nrniv_two_scripts.py ............................................. Passed 0.13 sec
Start 119: pyinit::nrniv_python_command
190/272 Test #117: pyinit::nrniv_two_scripts.py_error_check_code ............................ Passed 0.12 sec
Start 120: pyinit::nrniv_python_command_error
191/272 Test #118: pyinit::nrniv_two_scripts.py_error_check_output .......................... Passed 0.12 sec
Start 121: pyinit::nrniv_python_script.py
192/272 Test #119: pyinit::nrniv_python_command ............................................. Passed 0.13 sec
Start 122: pyinit::nrniv_python_script.py_error
193/272 Test #120: pyinit::nrniv_python_command_error ....................................... Passed 0.13 sec
Start 123: pyinit::nrniv_python_command_twice
194/272 Test #121: pyinit::nrniv_python_script.py ........................................... Passed 0.13 sec
Start 124: pyinit::nrniv_python_script.py_twice
195/272 Test #122: pyinit::nrniv_python_script.py_error ..................................... Passed 0.13 sec
Start 125: pyinit::nrniv_python_command_args
196/272 Test #123: pyinit::nrniv_python_command_twice ....................................... Passed 0.13 sec
Start 126: pyinit::nrniv_python_script.py_args
197/272 Test #124: pyinit::nrniv_python_script.py_twice ..................................... Passed 0.13 sec
Start 127: pyinit::nrniv_nopython_PythonObject
198/272 Test #125: pyinit::nrniv_python_command_args ........................................ Passed 0.13 sec
Start 128: pyinit::nrniv_nopython_PythonObject_pyexe
199/272 Test #126: pyinit::nrniv_python_script.py_args ...................................... Passed 0.13 sec
Start 129: pyinit::nrniv_nopython_PythonObject_pyenv
200/272 Test #127: pyinit::nrniv_nopython_PythonObject ...................................... Passed 0.11 sec
Start 130: pyinit::nrniv_py3.10_python_command_version_check
201/272 Test #128: pyinit::nrniv_nopython_PythonObject_pyexe ................................ Passed 0.11 sec
Start 131: pyinit::nrniv_py3.10_python_check_sys_path
202/272 Test #129: pyinit::nrniv_nopython_PythonObject_pyenv ................................ Passed 0.11 sec
Start 133: pyinit::nrniv_py3.10_nrnpython_check_sys_path
203/272 Test #130: pyinit::nrniv_py3.10_python_command_version_check ........................ Passed 0.13 sec
Start 135: pyinit::nrniv_py3.10_python_check_sys_prefix
204/272 Test #131: pyinit::nrniv_py3.10_python_check_sys_path ............................... Passed 0.13 sec
Start 137: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix
205/272 Test #133: pyinit::nrniv_py3.10_nrnpython_check_sys_path ............................ Passed 0.13 sec
Start 139: pyinit::nrniv_py3.10_python_check_sys_exec_prefix
206/272 Test #135: pyinit::nrniv_py3.10_python_check_sys_prefix ............................. Passed 0.13 sec
Start 141: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix
207/272 Test #137: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix .......................... Passed 0.13 sec
Start 143: pyinit::nrniv_py3.10_python_check_sys_base_prefix
208/272 Test #139: pyinit::nrniv_py3.10_python_check_sys_exec_prefix ........................ Passed 0.13 sec
Start 145: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix
209/272 Test #141: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix ..................... Passed 0.13 sec
Start 147: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix
210/272 Test #143: pyinit::nrniv_py3.10_python_check_sys_base_prefix ........................ Passed 0.13 sec
Start 149: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix
211/272 Test #145: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix ..................... Passed 0.13 sec
Start 151: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding
212/272 Test #147: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix ................... Passed 0.13 sec
Start 153: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding
213/272 Test #149: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix ................ Passed 0.13 sec
Start 155: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding
214/272 Test #151: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding .................... Passed 0.13 sec
Start 157: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding
215/272 Test #153: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding ................. Passed 0.13 sec
Start 159: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding
216/272 Test #155: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding ..................... Passed 0.13 sec
Start 161: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding
217/272 Test #157: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding .................. Passed 0.13 sec
Start 163: pyinit::nrniv_py3.10_python_command_import_neuron
218/272 Test #159: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding .................... Passed 0.13 sec
Start 164: pyinit::py3.10_command_import_neuron
219/272 Test #161: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding ................. Passed 0.14 sec
Start 165: pyinit::nrniv_py3.10_hoc_command_nrnpython
220/272 Test #165: pyinit::nrniv_py3.10_hoc_command_nrnpython ............................... Passed 0.34 sec
Start 166: pyinit::nrniv_pydef_python_command_version_check
221/272 Test #163: pyinit::nrniv_py3.10_python_command_import_neuron ........................ Passed 0.60 sec
Start 167: pyinit::nrniv_pydef_python_check_sys_path
222/272 Test #166: pyinit::nrniv_pydef_python_command_version_check ......................... Passed 0.14 sec
Start 169: pyinit::nrniv_pydef_nrnpython_check_sys_path
223/272 Test #164: pyinit::py3.10_command_import_neuron ..................................... Passed 0.60 sec
Start 171: pyinit::nrniv_pydef_python_check_sys_prefix
224/272 Test #167: pyinit::nrniv_pydef_python_check_sys_path ................................ Passed 0.13 sec
Start 173: pyinit::nrniv_pydef_nrnpython_check_sys_prefix
225/272 Test #169: pyinit::nrniv_pydef_nrnpython_check_sys_path ............................. Passed 0.13 sec
Start 175: pyinit::nrniv_pydef_python_check_sys_exec_prefix
226/272 Test #171: pyinit::nrniv_pydef_python_check_sys_prefix .............................. Passed 0.13 sec
Start 177: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix
227/272 Test #173: pyinit::nrniv_pydef_nrnpython_check_sys_prefix ........................... Passed 0.13 sec
Start 179: pyinit::nrniv_pydef_python_check_sys_base_prefix
228/272 Test #175: pyinit::nrniv_pydef_python_check_sys_exec_prefix ......................... Passed 0.13 sec
Start 181: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix
229/272 Test #177: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix ...................... Passed 0.13 sec
Start 183: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix
230/272 Test #179: pyinit::nrniv_pydef_python_check_sys_base_prefix ......................... Passed 0.13 sec
Start 185: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix
231/272 Test #181: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix ...................... Passed 0.13 sec
Start 187: pyinit::nrniv_pydef_python_check_sys_stderr.encoding
232/272 Test #183: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix .................... Passed 0.13 sec
Start 189: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding
233/272 Test #185: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix ................. Passed 0.13 sec
Start 191: pyinit::nrniv_pydef_python_check_sys_stdin.encoding
234/272 Test #187: pyinit::nrniv_pydef_python_check_sys_stderr.encoding ..................... Passed 0.13 sec
Start 193: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding
235/272 Test #189: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding .................. Passed 0.13 sec
Start 195: pyinit::nrniv_pydef_python_check_sys_stdout.encoding
236/272 Test #191: pyinit::nrniv_pydef_python_check_sys_stdin.encoding ...................... Passed 0.13 sec
Start 197: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding
237/272 Test #193: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding ................... Passed 0.13 sec
Start 199: pyinit::nrniv_pydef_python_command_import_neuron
238/272 Test #195: pyinit::nrniv_pydef_python_check_sys_stdout.encoding ..................... Passed 0.13 sec
Start 200: pyinit::pydef_command_import_neuron
239/272 Test #197: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding .................. Passed 0.13 sec
Start 201: pyinit::nrniv_pydef_hoc_command_nrnpython
240/272 Test #201: pyinit::nrniv_pydef_hoc_command_nrnpython ................................ Passed 0.33 sec
Start 202: external_nrntest
241/272 Test #199: pyinit::nrniv_pydef_python_command_import_neuron ......................... Passed 0.59 sec
Start 222: testcorenrn_bbcore::compare_results
242/272 Test #200: pyinit::pydef_command_import_neuron ...................................... Passed 0.59 sec
Start 228: testcorenrn_conc::compare_results
243/272 Test #228: testcorenrn_conc::compare_results ........................................ Passed 0.14 sec
Start 234: testcorenrn_deriv::compare_results
244/272 Test #222: testcorenrn_bbcore::compare_results ...................................... Passed 0.15 sec
Start 240: testcorenrn_gf::compare_results
245/272 Test #234: testcorenrn_deriv::compare_results ....................................... Passed 0.02 sec
Start 246: testcorenrn_kin::compare_results
246/272 Test #240: testcorenrn_gf::compare_results .......................................... Passed 0.02 sec
Start 251: testcorenrn_patstim::compare_results
247/272 Test #246: testcorenrn_kin::compare_results ......................................... Passed 0.02 sec
Start 257: testcorenrn_vecplay::compare_results
248/272 Test #251: testcorenrn_patstim::compare_results ..................................... Passed 0.02 sec
Start 269: testcorenrn_watch::compare_results
249/272 Test #257: testcorenrn_vecplay::compare_results ..................................... Passed 0.02 sec
Start 272: testcorenrn_netstimdirect::compare_results
250/272 Test #269: testcorenrn_watch::compare_results ....................................... Passed 0.02 sec
251/272 Test #272: testcorenrn_netstimdirect::compare_results ............................... Passed 0.02 sec
Start 79: parallel::partrans
252/272 Test #79: parallel::partrans ....................................................... Passed 0.94 sec
Start 80: parallel::netpar
253/272 Test #80: parallel::netpar ......................................................... Passed 0.81 sec
Start 81: parallel::bas
254/272 Test #81: parallel::bas ............................................................ Passed 3.42 sec
Start 82: parallel::nrntest_fast
255/272 Test #82: parallel::nrntest_fast ................................................... Passed 1.30 sec
Start 103: coreneuron_modtests::spikes_mpi_file_mode_py_cpu
256/272 Test #103: coreneuron_modtests::spikes_mpi_file_mode_py_cpu ......................... Passed 1.03 sec
Start 104: coreneuron_modtests::inputpresyn_py_cpu
257/272 Test #104: coreneuron_modtests::inputpresyn_py_cpu .................................. Passed 1.07 sec
258/272 Test #202: external_nrntest ......................................................... Passed 37.64 sec
Start 262: testcorenrn_vecevent::coreneuron_cpu_offline::preparation
259/272 Test #262: testcorenrn_vecevent::coreneuron_cpu_offline::preparation ................ Passed 0.34 sec
Start 203: reduced_dentate::neuron
260/272 Test #203: reduced_dentate::neuron .................................................. Passed 25.20 sec
Start 204: reduced_dentate::coreneuron_cpu
261/272 Test #204: reduced_dentate::coreneuron_cpu .......................................... Passed 17.58 sec
Start 214: external_ringtest::coreneuron_cpu_mpi_threads
262/272 Test #214: external_ringtest::coreneuron_cpu_mpi_threads ............................ Passed 0.98 sec
Start 215: external_ringtest::coreneuron_cpu_mpi_threads_python
263/272 Test #215: external_ringtest::coreneuron_cpu_mpi_threads_python ..................... Passed 1.17 sec
Start 258: testcorenrn_vecevent::neuron
264/272 Test #258: testcorenrn_vecevent::neuron ............................................. Passed 0.32 sec
Start 259: testcorenrn_vecevent::coreneuron_cpu_online
265/272 Test #259: testcorenrn_vecevent::coreneuron_cpu_online .............................. Passed 0.65 sec
Start 260: testcorenrn_vecevent::coreneuron_cpu_online_psolve_alternate
266/272 Test #260: testcorenrn_vecevent::coreneuron_cpu_online_psolve_alternate ............. Passed 0.67 sec
Start 261: testcorenrn_vecevent::coreneuron_cpu_offline
267/272 Test #261: testcorenrn_vecevent::coreneuron_cpu_offline ............................. Passed 0.28 sec
Start 78: parallel::subworld
268/272 Test #78: parallel::subworld ....................................................... Passed 1.17 sec
Start 105: coreneuron_modtests::test_subworlds_py_cpu
269/272 Test #105: coreneuron_modtests::test_subworlds_py_cpu ............................... Passed 1.82 sec
Start 205: reduced_dentate::compare_results
Start 216: external_ringtest::compare_results
Start 263: testcorenrn_vecevent::compare_results
270/272 Test #205: reduced_dentate::compare_results ......................................... Passed 0.02 sec
271/272 Test #263: testcorenrn_vecevent::compare_results .................................... Passed 0.02 sec
272/272 Test #216: external_ringtest::compare_results ....................................... Passed 0.02 sec
100% tests passed, 0 tests failed out of 272
Total Test time (real) = 135.12 sec
$ cmake --build . --target install
[0/2] Re-checking globbed directories...
[1/5] Update hh.mod for CoreNEURON compatibility
[2/5] Generating help_data.dat
[3/5] cd /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/src/nrnoc && /opt/homebrew/Cellar/cmake/3.24.2/bin/cmake -E env PROJECT_VERSION=9.0.0 bash /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/git2nrnversion_h.sh /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn > nrnversion.h.tmp && /opt/homebrew/Cellar/cmake/3.24.2/bin/cmake -E copy_if_different nrnversion.h.tmp nrnversion.h
fatal: No names found, cannot describe anything.
[4/5] Running utility command for hoc_module
INFO:root:setup.py called with:setup.py build --cmake-build-dir /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build --disable-rx3d --without-nrnpython --build-lib=/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib/python build_ext --define=NRN_ASAN_ENABLED,USE_PYTHON,NRN_ENABLE_THREADS
INFO:root:Setting SDKROOT=/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk
WARNING:root:You are building a wheel with a Python built for macOS >=12.0.0. Your wheel won't run on older versions, consider using an official Python build from python.org
WARNING:root:Setting MACOSX_DEPLOYMENT_TARGET=12.0
INFO:root:Extension common compile flags defaultdict(<class 'list'>, {'library_dirs': ['/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/build/lib'], 'libraries': ['nrniv'], 'language': 'c++'})
INFO:root:RX3D is DISABLED
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/venv/lib/python3.10/site-packages/setuptools/__init__.py:84: _DeprecatedInstaller: setuptools.installer and fetch_build_eggs are deprecated.
!!
********************************************************************************
Requirements should be satisfied by a PEP 517 installer.
If you are using pip, you can try `pip install --use-pep517`.
********************************************************************************
!!
dist.fetch_build_eggs(dist.setup_requires)
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/venv/lib/python3.10/site-packages/setuptools_scm/git.py:135: UserWarning: "/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn" is shallow and may cause errors
warnings.warn(f'"{wd.path}" is shallow and may cause errors')
INFO:root:running build
INFO:root:running build_py
INFO:root:running build_ext
INFO:root:==> Building Python extensions
INFO:root:running build_scripts
[4/5] Install the project...
-- Install configuration: "Custom"
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/nrn-enable-sanitizer
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libsymtab.a
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/librxdmath.dylib
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libnrniv.dylib
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libnrngnu.a
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libvisitor.a
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/hclass3.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/hoc.cpython-310-darwin.so
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/expect_hocerr.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/psection.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/metadata.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/morphml.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/xml2nrn.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/neuroml.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/rdxml.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/biophysics.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/config.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/units.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/sections.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdException.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/options.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/plugins.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdsection.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/species.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdmath.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/rate.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/region.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/multiCompartmentReaction.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/constants.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/dimension3.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/section1d.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/export.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/initializer.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/rangevar.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/geometry.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxd.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/generalizedReaction.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/nodelist.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/node.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/gui.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/reaction.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/_subclass.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/test_all.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/strtobool.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/capture_stdout.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/checkresult.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/test_neuron.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/test_vector.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/test_rxd.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/_config_params.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/coreneuron.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/doc.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2/setup_threejs.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2/config.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2/rangevar.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2/plotshape.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2/utilities.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/crxd
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/crxd/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/help_data.dat
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/nonvint_block_supervisor.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nrnunits.lib.in
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libspdlog.a
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libfmt.a
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/dsl.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/symtab.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext/example
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext/example/passive.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext/example/expsyn.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext/example/exp2syn.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext/example/hh.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext/viz
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext/viz/index.html
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext/viz/css
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext/viz/css/tree.css
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext/viz/js
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext/viz/js/d3.min.js
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ext/viz/js/tree.js
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/visitor.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ast.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/ode.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/nmodl/_nmodl.cpython-310-darwin.so
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libpywrapper.a
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/liblexer.a
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libpyembed.a
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libcoreneuron-core.a
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libcodegen.a
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libutil.a
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/crout_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/cache
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/cache/mechanism_range.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/container
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/container/non_owning_soa_identifier.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/container/data_handle.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/container/generic_data_handle.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/model_data_fwd.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnrandom.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hocassrt.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/multicore.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnconf.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/wrap_sprintf.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/simeq.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/ocmisc.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/multisplit.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/oc_ansi.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hoclist.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/membfunc.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnmpidec.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnversionmacros.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/scoplib.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/sparse.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/membdef.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton_struct.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton/newton.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrncvode
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrncvode/nrnneosm.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/bbsavestate.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nmodlmutex.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/euler_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hocdec.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/md2redef.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hocgetsym.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/euler.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/cabvars.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/options.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnmpi.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnran123.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/CholmodSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/StdVector
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/StdDeque
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Core
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SparseLU
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/OrderingMethods
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/QtAlignedMalloc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Householder
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/PardisoSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SparseCore
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Jacobi
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Dense
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SPQRSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/QR
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Eigen
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SparseQR
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SVD
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Cholesky
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/UmfPackSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/IterativeLinearSolvers
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/LU
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Sparse
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Geometry
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SuperLUSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/StdList
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/MetisSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SparseCholesky
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Eigenvalues
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/PaStiXSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/CholmodSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/CholmodSupport/CholmodSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/blas.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/lapacke.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/Kernel.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/RealSvd2x2.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/Image.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/lapack.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/lapacke_mangling.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Reverse.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ConditionEstimator.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/PermutationMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Assign.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/MathFunctionsImpl.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseUnaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DiagonalMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/StlIterators.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/VectorBlock.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ReturnByValue.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Solve.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointRank2Update.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralBlockPanelKernel.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrixTriangular_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrix_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixVector_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/Parallelizer.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrixTriangular.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixVector.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixVector.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixVector.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixVector_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixMatrix_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixVector_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverMatrix_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixMatrix_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverVector.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ArithmeticSequence.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Product.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/NonMPL2.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ReshapedHelper.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/BlasUtil.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/StaticAssert.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Constants.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/XprHelper.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/MKL_support.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Macros.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/DisableStupidWarnings.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/SymbolicIndex.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ForwardDeclarations.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Memory.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Meta.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ConfigureVectorization.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/IntegralConstant.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ReenableStupidWarnings.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/IndexedViewHelper.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/MatrixBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Stride.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Map.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ForceAlignedAccess.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/UnaryFunctors.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/TernaryFunctors.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/AssignmentFunctors.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/BinaryFunctors.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/StlFunctors.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/NullaryFunctors.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/SelfCwiseBinaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/BandMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Inverse.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Assign_MKL.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Swap.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ProductEvaluators.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/MapBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Matrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/EigenBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/StableNorm.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/GenericPacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseBinaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Visitor.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseStorage.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DiagonalProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseUnaryView.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/NoAlias.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseCoeffsBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/PartialReduxEvaluator.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/IndexedView.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Fuzzy.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/TriangularMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/IO.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/CUDA
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/CUDA/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/Half.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/Settings.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/BFloat16.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/GenericPacketMathFunctionsFwd.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/GenericPacketMathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/ConjHelper.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP/hcc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP/hcc/math_constants.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/SyclMemoryModel.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/InteropHeaders.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/GeneralBlockPanelKernel.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProductMMA.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProductCommon.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Array.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/SolverBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/AssignEvaluator.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Replicate.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CoreIterators.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ArrayBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Block.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Dot.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/PlainObjectBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/SelfAdjointView.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/GeneralProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/SolveTriangular.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CoreEvaluators.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseNullaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/VectorwiseOp.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ArrayWrapper.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/BooleanRedux.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Transpositions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/NestByValue.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Ref.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Reshaped.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Transpose.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Redux.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/NumTraits.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CommaInitializer.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/GlobalFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Random.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Diagonal.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseTernaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Select.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Utils.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_kernel_bmod.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_column_bmod.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_gemm_kernel.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_column_dfs.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_panel_dfs.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_relax_snode.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_heap_relax_snode.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_panel_bmod.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Structs.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_pruneL.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_copy_to_ucol.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Memory.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_pivotL.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLUImpl.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_SupernodalMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Ordering.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Eigen_Colamd.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Amd.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/ArrayCwiseUnaryOps.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/CommonCwiseUnaryOps.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/BlockMethods.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/ArrayCwiseBinaryOps.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/MatrixCwiseBinaryOps.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/IndexedViewMethods.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/CommonCwiseBinaryOps.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/ReshapedMethods.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/MatrixCwiseUnaryOps.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Householder
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Householder/HouseholderSequence.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Householder/Householder.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Householder/BlockHouseholder.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/PardisoSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/PardisoSupport/PardisoSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSelfAdjointView.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/CompressedStorage.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCwiseBinaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseView.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseColEtree.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMatrixBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDiagonalProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseTriangularView.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseAssign.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparsePermutation.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCwiseUnaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMap.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDenseProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseRef.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseFuzzy.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/MappedSparseMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSparseProductWithPruning.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSolverBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseBlock.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/TriangularSolver.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseVector.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCompressedBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseTranspose.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/AmbiVector.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseRedux.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/ConservativeSparseSparseProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseUtil.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDot.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Jacobi
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Jacobi/Jacobi.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SPQRSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SPQRSupport/SuiteSparseQRSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/FullPivHouseholderQR.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/HouseholderQR.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/CompleteOrthogonalDecomposition.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/ColPivHouseholderQR_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/ColPivHouseholderQR.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/HouseholderQR_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseQR
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseQR/SparseQR.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/JacobiSVD_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/SVDBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/JacobiSVD.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/BDCSVD.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/UpperBidiagonalization.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Cholesky
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LLT_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LDLT.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LLT.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/UmfPackSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/UmfPackSupport/UmfPackSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/BasicPreconditioners.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IncompleteCholesky.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/SolveWithGuess.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IncompleteLUT.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/LeastSquareConjugateGradient.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/ConjugateGradient.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/BiCGSTAB.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IterativeSolverBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/PartialPivLU_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/InverseImpl.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/Determinant.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/arch
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/arch/InverseSize4.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/PartialPivLU.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/FullPivLU.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/AngleAxis.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/RotationBase.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/EulerAngles.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Hyperplane.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Homogeneous.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/AlignedBox.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Quaternion.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Umeyama.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Translation.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Scaling.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/OrthoMethods.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Rotation2D.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/arch
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/arch/Geometry_SIMD.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Transform.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/ParametrizedLine.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SuperLUSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SuperLUSupport/SuperLUSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/MetisSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/MetisSupport/MetisSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdList.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdDeque.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/details.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdVector.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky/SimplicialCholesky_impl.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky/SimplicialCholesky.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/GeneralizedEigenSolver.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/EigenSolver.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealSchur_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealSchur.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexSchur_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/Tridiagonalization.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/HessenbergDecomposition.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexEigenSolver.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/GeneralizedSelfAdjointEigenSolver.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/SelfAdjointEigenSolver_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexSchur.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/SelfAdjointEigenSolver.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealQZ.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/MatrixBaseEigenvalues.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/PaStiXSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/PaStiXSupport/PaStiXSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/KLUSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/KLUSupport/KLUSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/KLUSupport
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnapi.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrncvode.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnsemanticversion.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/spmatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/ocfunc.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/section_fwd.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/row_view.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hoc_membf.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/backtrace_utils.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnisaac.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/parse_with_deps.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnredef.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/cspredef.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/dimplic.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hoc.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/crout.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnmpiuse.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/md1redef.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrniv_mf.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrn_ansi.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/deflate.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/errcodes.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrniv
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrniv/profiler_interface.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrniv/nrniv_decl.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/engine.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrnconf.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/gpu
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/gpu/nrn_acc_manager.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/membfunc.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/register_mech.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mechanism.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech_mapping.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/eion.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/mod2c_core_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile/cabvars.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/core
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/core/nrnmpi.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpidec.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpi.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/lib
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/lib/nrnmpi.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpiuse.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/config
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/config/config.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/config/version_macros.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/config/neuron_version.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/coreneuron.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/multisend.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/have2want.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/netpar.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/tnode.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/netcon.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/partrans.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/tqueue.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/netcvode.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrn2core_direct.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/mech_report.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/file_utils.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/core2nrn_data_return.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/prcellstate.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrn_setup.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/output_spikes.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/lfp.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/setup_fornetcon.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrn_checkpoint.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/user_params.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrnsection_mapping.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrn_filehandler.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/mem_layout_util.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/phase1.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_event.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_handler.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports/sonata_report_handler.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports/nrnreport.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/phase2.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/vrecitem.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/utils_cuda.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/nrnmutdec.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/string_utils.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_assert.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/nrnoc_aux.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar/progressbar.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/memory_utils.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/profile
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/profile/profiler_interface.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/lpt.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/offload.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/memory.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/units.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/utils.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/randoms
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/randoms/nrnran123.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_stats.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/ivocvect.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrnoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md2redef.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md1redef.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/membrane_definitions.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/multicore.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/fast_imem.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/crout_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/errcodes.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_struct.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/ssimplic_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/sparse_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/apps
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/apps/corenrn_parameters.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/permute
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/permute/node_permute.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/permute/cellorder.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/permute/data_layout.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnassrt.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/spconfig.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/runge.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnoc_ml.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/mech_api.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/mcran4.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/ssimplic_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hocparse.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/ssimplic.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/ReinterpretCtr.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/xlcfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/pgccfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/fujitsufeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/metalfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/gccfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/iccfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/open64features.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/sunprofeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/compilerfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/clangfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/crayfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/sse.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/msvcfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/openclfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/nvccfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/threefry.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/conventional
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/conventional/Engine.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/conventional/gsl_cbrng.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/philox.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/gsl_microrng.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/aes.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/array.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/MicroURNG.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/u01fixedpt.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/boxmuller.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/uniform.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/ars.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/crout
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/crout/crout.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/sparse_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/treeset.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/cspmatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/nmodl
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nmodl.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nmodl/nrnunits.lib
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nmodl/nrnunits.lib
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libcoreneuron-core.a
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/nrniv
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/nrniv/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/nrniv/nrniv_decl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/engine.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/nrnconf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/gpu
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/gpu/nrn_acc_manager.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mechanism
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mechanism/membfunc.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mechanism/register_mech.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mechanism/mechanism.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mechanism/eion.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech/mod2c_core_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech/cfile
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech/cfile/cabvars.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mpi
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mpi/core
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mpi/core/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mpi/nrnmpidec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mpi/nrnmpi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mpi/lib
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mpi/lib/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/mpi/nrnmpiuse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/config
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/config/config.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/config/version_macros.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/config/neuron_version.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/coreneuron.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/network
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/network/multisend.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/network/have2want.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/network/netpar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/network/tnode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/network/netcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/network/partrans.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/network/tqueue.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/network/netcvode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/nrn2core_direct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/mech_report.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/file_utils.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/core2nrn_data_return.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/prcellstate.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/nrn_setup.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/output_spikes.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/lfp.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/setup_fornetcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/nrn_checkpoint.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/user_params.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/nrnsection_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/nrn_filehandler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/mem_layout_util.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/phase1.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/reports
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/reports/report_event.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/reports/report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/reports/sonata_report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/reports/nrnreport.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/io/phase2.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/vrecitem.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/utils_cuda.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/nrnmutdec.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/string_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/nrn_assert.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/nrnoc_aux.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/progressbar
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/progressbar/progressbar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/memory_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/profile
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/profile/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/lpt.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/offload.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/units.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/utils.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/randoms
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/randoms/nrnran123.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/nrn_stats.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/utils/ivocvect.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/nrnoc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/nrnoc/md2redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/nrnoc/md1redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/membrane_definitions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/sim
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/sim/multicore.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/sim/fast_imem.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/crout_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/errcodes.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/newton_struct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/newton_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/ssimplic_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/sparse_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/apps
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/apps/corenrn_parameters.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/permute
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/permute/node_permute.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/permute/cellorder.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include//coreneuron/permute/data_layout.hpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/coreneuron/mod_func.c.pl
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/coreneuron/enginemech.cpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/nmodl
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/nrniv-core
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/coreneuron/coreneuron.cpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libcorenrnmech_internal.dylib
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/crout_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/cache
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/cache/mechanism_range.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/container
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/container/non_owning_soa_identifier.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/container/data_handle.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/container/generic_data_handle.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/model_data_fwd.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnrandom.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hocassrt.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/multicore.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnconf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/wrap_sprintf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/simeq.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/ocmisc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/multisplit.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/oc_ansi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hoclist.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/membfunc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnmpidec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnversionmacros.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/scoplib.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/sparse.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/membdef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton_struct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton/newton.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrncvode
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrncvode/nrnneosm.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/bbsavestate.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nmodlmutex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/euler_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hocdec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/md2redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hocgetsym.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/euler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/cabvars.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/options.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnmpi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnran123.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/CholmodSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/StdVector
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/StdDeque
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Core
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SparseLU
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/OrderingMethods
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/QtAlignedMalloc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Householder
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/PardisoSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SparseCore
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Jacobi
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Dense
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SPQRSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/QR
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Eigen
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SparseQR
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SVD
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Cholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/UmfPackSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/IterativeLinearSolvers
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/LU
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Sparse
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Geometry
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SuperLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/StdList
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/MetisSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SparseCholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Eigenvalues
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/PaStiXSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/CholmodSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/CholmodSupport/CholmodSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/blas.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/lapacke.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/Kernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/RealSvd2x2.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/Image.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/lapack.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/lapacke_mangling.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Reverse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ConditionEstimator.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/PermutationMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Assign.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/MathFunctionsImpl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseUnaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DiagonalMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/StlIterators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/VectorBlock.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ReturnByValue.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Solve.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointRank2Update.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralBlockPanelKernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrixTriangular_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixVector_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/Parallelizer.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrixTriangular.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixVector_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixVector_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ArithmeticSequence.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Product.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/NonMPL2.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ReshapedHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/BlasUtil.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/StaticAssert.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Constants.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/XprHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/MKL_support.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Macros.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/DisableStupidWarnings.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/SymbolicIndex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ForwardDeclarations.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Meta.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ConfigureVectorization.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/IntegralConstant.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ReenableStupidWarnings.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/IndexedViewHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/MatrixBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Stride.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Map.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ForceAlignedAccess.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/UnaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/TernaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/AssignmentFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/BinaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/StlFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/NullaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/SelfCwiseBinaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/BandMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Inverse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Assign_MKL.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Swap.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ProductEvaluators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/MapBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Matrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/EigenBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/StableNorm.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/GenericPacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseBinaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Visitor.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseStorage.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DiagonalProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseUnaryView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/NoAlias.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseCoeffsBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/PartialReduxEvaluator.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/IndexedView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Fuzzy.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/TriangularMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/IO.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/CUDA
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/CUDA/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/Half.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/Settings.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/BFloat16.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/GenericPacketMathFunctionsFwd.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/GenericPacketMathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/ConjHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP/hcc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP/hcc/math_constants.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/SyclMemoryModel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/InteropHeaders.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/GeneralBlockPanelKernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProductMMA.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProductCommon.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Array.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/SolverBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/AssignEvaluator.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Replicate.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CoreIterators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ArrayBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Block.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Dot.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/PlainObjectBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/SelfAdjointView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/GeneralProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/SolveTriangular.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CoreEvaluators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseNullaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/VectorwiseOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ArrayWrapper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/BooleanRedux.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Transpositions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/NestByValue.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Ref.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Reshaped.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Transpose.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Redux.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/NumTraits.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CommaInitializer.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/GlobalFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Random.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Diagonal.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseTernaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Select.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_kernel_bmod.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_column_bmod.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_gemm_kernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_column_dfs.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_panel_dfs.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_relax_snode.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_heap_relax_snode.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_panel_bmod.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Structs.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_pruneL.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_copy_to_ucol.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_pivotL.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLUImpl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_SupernodalMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Ordering.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Eigen_Colamd.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Amd.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/ArrayCwiseUnaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/CommonCwiseUnaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/BlockMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/ArrayCwiseBinaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/MatrixCwiseBinaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/IndexedViewMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/CommonCwiseBinaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/ReshapedMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/MatrixCwiseUnaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Householder
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Householder/HouseholderSequence.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Householder/Householder.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Householder/BlockHouseholder.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/PardisoSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/PardisoSupport/PardisoSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSelfAdjointView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/CompressedStorage.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCwiseBinaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseColEtree.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMatrixBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDiagonalProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseTriangularView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseAssign.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparsePermutation.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCwiseUnaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMap.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDenseProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseRef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseFuzzy.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/MappedSparseMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSparseProductWithPruning.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSolverBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseBlock.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/TriangularSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCompressedBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseTranspose.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/AmbiVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseRedux.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/ConservativeSparseSparseProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseUtil.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDot.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Jacobi
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Jacobi/Jacobi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SPQRSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SPQRSupport/SuiteSparseQRSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/FullPivHouseholderQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/HouseholderQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/CompleteOrthogonalDecomposition.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/ColPivHouseholderQR_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/ColPivHouseholderQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/HouseholderQR_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseQR
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseQR/SparseQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/JacobiSVD_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/SVDBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/JacobiSVD.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/BDCSVD.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/UpperBidiagonalization.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Cholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LLT_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LDLT.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LLT.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/UmfPackSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/UmfPackSupport/UmfPackSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/BasicPreconditioners.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IncompleteCholesky.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/SolveWithGuess.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IncompleteLUT.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/LeastSquareConjugateGradient.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/ConjugateGradient.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/BiCGSTAB.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IterativeSolverBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/PartialPivLU_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/InverseImpl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/Determinant.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/arch
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/arch/InverseSize4.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/PartialPivLU.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/FullPivLU.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/AngleAxis.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/RotationBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/EulerAngles.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Hyperplane.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Homogeneous.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/AlignedBox.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Quaternion.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Umeyama.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Translation.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Scaling.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/OrthoMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Rotation2D.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/arch
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/arch/Geometry_SIMD.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Transform.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/ParametrizedLine.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SuperLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SuperLUSupport/SuperLUSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/MetisSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/MetisSupport/MetisSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdList.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdDeque.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/details.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky/SimplicialCholesky_impl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky/SimplicialCholesky.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/GeneralizedEigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/EigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealSchur_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealSchur.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexSchur_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/Tridiagonalization.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/HessenbergDecomposition.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexEigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/GeneralizedSelfAdjointEigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/SelfAdjointEigenSolver_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexSchur.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/SelfAdjointEigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealQZ.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/MatrixBaseEigenvalues.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/PaStiXSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/PaStiXSupport/PaStiXSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/KLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/KLUSupport/KLUSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/KLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnapi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrncvode.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnsemanticversion.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/spmatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/ocfunc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/section_fwd.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/row_view.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hoc_membf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/backtrace_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnisaac.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/parse_with_deps.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnredef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/cspredef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/dimplic.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hoc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/crout.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnmpiuse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/md1redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrniv_mf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrn_ansi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/deflate.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/errcodes.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrniv
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrniv/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrniv/nrniv_decl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/engine.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrnconf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/gpu
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/gpu/nrn_acc_manager.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/membfunc.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/register_mech.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mechanism.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/eion.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/mod2c_core_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile/cabvars.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/core
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/core/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpidec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/lib
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/lib/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpiuse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/config
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/config/config.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/config/version_macros.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/config/neuron_version.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/coreneuron.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/multisend.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/have2want.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/netpar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/tnode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/netcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/partrans.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/tqueue.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/netcvode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrn2core_direct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/mech_report.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/file_utils.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/core2nrn_data_return.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/prcellstate.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrn_setup.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/output_spikes.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/lfp.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/setup_fornetcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrn_checkpoint.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/user_params.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrnsection_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrn_filehandler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/mem_layout_util.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/phase1.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_event.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports/sonata_report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports/nrnreport.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/phase2.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/vrecitem.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/utils_cuda.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/nrnmutdec.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/string_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_assert.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/nrnoc_aux.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar/progressbar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/memory_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/profile
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/profile/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/lpt.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/offload.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/units.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/utils.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/randoms
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/randoms/nrnran123.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_stats.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/ivocvect.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrnoc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md2redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md1redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/membrane_definitions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/multicore.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/fast_imem.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/crout_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/errcodes.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_struct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/ssimplic_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/sparse_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/apps
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/apps/corenrn_parameters.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/permute
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/permute/node_permute.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/permute/cellorder.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/permute/data_layout.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnassrt.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/spconfig.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/runge.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnoc_ml.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/mech_api.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/mcran4.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/ssimplic_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hocparse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/ssimplic.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/ReinterpretCtr.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/xlcfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/pgccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/fujitsufeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/metalfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/gccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/iccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/open64features.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/sunprofeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/compilerfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/clangfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/crayfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/sse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/msvcfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/openclfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/nvccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/threefry.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/conventional
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/conventional/Engine.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/conventional/gsl_cbrng.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/philox.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/gsl_microrng.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/aes.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/array.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/MicroURNG.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/u01fixedpt.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/boxmuller.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/uniform.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/ars.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/crout
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/crout/crout.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/sparse_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/treeset.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/cspmatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/modfile
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/modfile/stim.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/modfile/passive.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/modfile/expsyn.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/modfile/svclmp.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/modfile/exp2syn.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/modfile/netstim.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/modfile/hh.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/modfile/pattern.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/coreneuron/nrnivmodl_core_makefile
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/nrnivmodl-core
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/nrniv
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/nocmodl
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/modlunit
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/libnrniv.dylib
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/nrnmain.cpp
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/nrngui
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/neurondemo
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/nrnivmodl
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/nrnmech_makefile
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/sortspike
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/mkthreadsafe
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/nrnpyenv.sh
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/bin/set_nrnpyenv.sh
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/librxdmath.dylib
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/hclass3.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/hoc.cpython-310-darwin.so
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/expect_hocerr.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/psection.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/metadata.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/morphml.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/xml2nrn.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/__init__.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/neuroml.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/rdxml.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/neuroml/biophysics.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/config.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/units.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/sections.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdException.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/options.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/plugins.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdsection.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/species.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdmath.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/rate.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/region.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/multiCompartmentReaction.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/constants.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/dimension3.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/section1d.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/plugins.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rxdsection.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/multiCompartmentReaction.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rangevar.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rxdmath.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/reaction.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rate.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/generalizedReaction.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/initializer.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/species.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/region.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/nodelist.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rxdException.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/options.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/node.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/constants.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rxd.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/geometry.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/dimension3.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/__init__.cpython-310.pyc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/section1d.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/export.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/initializer.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/rangevar.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/geometry.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxd.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/generalizedReaction.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/nodelist.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/node.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/gui.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/rxd/reaction.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/_subclass.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/test_all.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/__init__.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__/capture_stdout.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__/strtobool.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__/checkresult.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__/__init__.cpython-310.pyc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/strtobool.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/capture_stdout.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/checkresult.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_neuron.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_rxd.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_vector.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_rxd.cpython-310-pytest-7.3.2.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_vector.cpython-310-pytest-7.3.2.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_all.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_all.cpython-310-pytest-7.3.2.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_neuron.cpython-310-pytest-7.3.2.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/__init__.cpython-310.pyc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/test_neuron.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/test_vector.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/tests/test_rxd.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__init__.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/_config_params.py
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__pycache__
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/gui.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/coreneuron.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/config.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/hclass3.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/units.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/nonvint_block_supervisor.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/psection.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/expect_hocerr.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/_config_params.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/doc.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/__init__.cpython-310.pyc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/coreneuron.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/doc.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2/setup_threejs.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2/config.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2/__init__.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2/rangevar.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2/plotshape.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui2/utilities.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/crxd
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/crxd/__init__.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/help_data.dat
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/gui.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/nonvint_block_supervisor.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/lib/python/neuron/help_data.dat
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/shape.cm2
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/helpdict
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/stdgui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/loadbal.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/family.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/thresh.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/attshape.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/corenrn.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/gatherv.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/shapebox.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir/lincir1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir/elmbase.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir/linmech.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir/lingraph.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/grapher.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/vplay.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mknrndll.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/inserter.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/impedanx.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/impratio.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/runfit.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/showmech.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/movierun.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/parcom.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/noload.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/vecwrap.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/prcellstate.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/nrngui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/funfit.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/wingroup.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/electrod.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/gategui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/properties.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/chanbild1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/kschanbg.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/const.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/ksgate.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/ksstate.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/kstgui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/sigmoid.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/kstrans.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/table.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/exp.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/linoid.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/inhomofn.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celmemb.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celgeom.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celbild1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celset.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celtopol.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celmang.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/psubset.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mkcurve.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/single.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/pointgrp.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/subiter.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/single1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/atoltool.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/nrngui1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/impedanc.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/modlunit.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/artcel.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/netgui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/netdata.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/grstrlst.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/netready.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/spkplot.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/syntypes.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/edgegui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/varmeth1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/secanal.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/ppanal.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/artview.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/ncview.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/rcclasses.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/realcell.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/mviewgui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/parmsets.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/mviewxml.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/allpp.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/distinct.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/parmvals.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/distinctparm.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/allcell.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/treeview.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/mview1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/logax.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/pcchdir.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/stdrun.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/fitparm.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/mulfit1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/eonefunc.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/eonerun.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/clampfit.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/optwrap.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/protorun.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/e_actpot.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/e_y.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/eparmlst.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/e_norm.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/e_xy.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/eoneprim.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/macload.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/netparmpi.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/pointbsr.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/binfo.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/stdlib.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_nts.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/import3d_sec.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_morphml.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_nlcda3.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_nlcda.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/import3d_gui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_swc.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/pointman.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/nrnunits.lib.in
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/prologue.id
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/nrn.defaults.in
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/cleanup
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/shape.cm1
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/auditscripts
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/saveaudit
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/retrieve.sh
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/hocaudit.sh
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/gettarname
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/notes
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/hocload.sh
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/hh.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/singhh.ses
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/singhhchan.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/nomodel.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/dynchan.ses
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/pyramid.nrn
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/dynclamp.ses
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/demo.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/mcna.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/nacaex.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/nachan.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/release.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/camchan.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/capump.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/cachan1.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/invlfire.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/khhchan.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/cabpump.mod
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release.ses
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/pyramid.ses
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/cycle.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/d3init.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/dend3.ses
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/demo.ses
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/dend3
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/dend3/control.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/dend3/syn3.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/dend3/dend3.nrn
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/sync
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/sync/init.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/sync/start.ses
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/sync/demosync.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/sync/spkplot.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/sync/cell.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/sync/synchronize.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/dynclamp.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/singhh.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/hh.ses
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/reconst.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/testca.hoc
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/nrnunits.lib
-- Installing: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/nrn.defaults
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/lib/cleanup
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/crout_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/cache
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/cache/mechanism_range.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/container
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/container/non_owning_soa_identifier.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/container/data_handle.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/container/generic_data_handle.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron/model_data_fwd.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnrandom.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hocassrt.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/multicore.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnconf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/wrap_sprintf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/simeq.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/ocmisc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/multisplit.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/oc_ansi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hoclist.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/membfunc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnmpidec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnversionmacros.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/scoplib.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/sparse.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/membdef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/neuron.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton_struct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton/newton.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrncvode
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrncvode/nrnneosm.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/bbsavestate.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nmodlmutex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/euler_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hocdec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/md2redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hocgetsym.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/euler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/cabvars.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/options.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnmpi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnran123.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/CholmodSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/StdVector
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/StdDeque
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Core
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SparseLU
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/OrderingMethods
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/QtAlignedMalloc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Householder
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/PardisoSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SparseCore
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Jacobi
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Dense
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SPQRSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/QR
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Eigen
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SparseQR
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SVD
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Cholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/UmfPackSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/IterativeLinearSolvers
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/LU
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Sparse
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Geometry
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SuperLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/StdList
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/MetisSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/SparseCholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/Eigenvalues
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/PaStiXSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/CholmodSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/CholmodSupport/CholmodSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/blas.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/lapacke.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/Kernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/RealSvd2x2.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/Image.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/lapack.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/misc/lapacke_mangling.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Reverse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ConditionEstimator.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/PermutationMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Assign.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/MathFunctionsImpl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseUnaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DiagonalMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/StlIterators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/VectorBlock.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ReturnByValue.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Solve.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointRank2Update.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralBlockPanelKernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrixTriangular_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixVector_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/Parallelizer.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrixTriangular.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixVector_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixVector_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ArithmeticSequence.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Product.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/NonMPL2.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ReshapedHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/BlasUtil.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/StaticAssert.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Constants.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/XprHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/MKL_support.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Macros.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/DisableStupidWarnings.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/SymbolicIndex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ForwardDeclarations.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Meta.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ConfigureVectorization.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/IntegralConstant.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ReenableStupidWarnings.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/util/IndexedViewHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/MatrixBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Stride.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Map.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ForceAlignedAccess.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/UnaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/TernaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/AssignmentFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/BinaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/StlFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/NullaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/SelfCwiseBinaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/BandMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Inverse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Assign_MKL.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Swap.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ProductEvaluators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/MapBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Matrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/EigenBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/StableNorm.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/GenericPacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseBinaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Visitor.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseStorage.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DiagonalProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseUnaryView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/NoAlias.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseCoeffsBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/PartialReduxEvaluator.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/IndexedView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Fuzzy.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/TriangularMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/IO.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/CUDA
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/CUDA/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/Half.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/Settings.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/BFloat16.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/GenericPacketMathFunctionsFwd.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/GenericPacketMathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/ConjHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP/hcc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP/hcc/math_constants.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/SyclMemoryModel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/InteropHeaders.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/GeneralBlockPanelKernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProductMMA.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProductCommon.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Array.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/SolverBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/AssignEvaluator.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Replicate.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CoreIterators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ArrayBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Block.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Dot.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/PlainObjectBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/SelfAdjointView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/GeneralProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/SolveTriangular.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CoreEvaluators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseNullaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/VectorwiseOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/ArrayWrapper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/BooleanRedux.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Transpositions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/NestByValue.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Ref.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Reshaped.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Transpose.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Redux.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/NumTraits.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CommaInitializer.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/GlobalFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Random.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Diagonal.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseTernaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Core/Select.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_kernel_bmod.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_column_bmod.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_gemm_kernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_column_dfs.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_panel_dfs.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_relax_snode.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_heap_relax_snode.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_panel_bmod.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Structs.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_pruneL.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_copy_to_ucol.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_pivotL.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLUImpl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_SupernodalMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Ordering.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Eigen_Colamd.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Amd.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/ArrayCwiseUnaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/CommonCwiseUnaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/BlockMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/ArrayCwiseBinaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/MatrixCwiseBinaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/IndexedViewMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/CommonCwiseBinaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/ReshapedMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/plugins/MatrixCwiseUnaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Householder
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Householder/HouseholderSequence.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Householder/Householder.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Householder/BlockHouseholder.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/PardisoSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/PardisoSupport/PardisoSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSelfAdjointView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/CompressedStorage.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCwiseBinaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseColEtree.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMatrixBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDiagonalProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseTriangularView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseAssign.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparsePermutation.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCwiseUnaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMap.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDenseProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseRef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseFuzzy.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/MappedSparseMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSparseProductWithPruning.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSolverBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseBlock.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/TriangularSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCompressedBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseTranspose.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/AmbiVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseRedux.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/ConservativeSparseSparseProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseUtil.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDot.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Jacobi
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Jacobi/Jacobi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SPQRSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SPQRSupport/SuiteSparseQRSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/FullPivHouseholderQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/HouseholderQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/CompleteOrthogonalDecomposition.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/ColPivHouseholderQR_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/ColPivHouseholderQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/QR/HouseholderQR_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseQR
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseQR/SparseQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/JacobiSVD_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/SVDBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/JacobiSVD.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/BDCSVD.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SVD/UpperBidiagonalization.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Cholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LLT_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LDLT.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LLT.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/UmfPackSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/UmfPackSupport/UmfPackSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/BasicPreconditioners.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IncompleteCholesky.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/SolveWithGuess.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IncompleteLUT.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/LeastSquareConjugateGradient.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/ConjugateGradient.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/BiCGSTAB.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IterativeSolverBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/PartialPivLU_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/InverseImpl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/Determinant.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/arch
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/arch/InverseSize4.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/PartialPivLU.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/LU/FullPivLU.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/AngleAxis.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/RotationBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/EulerAngles.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Hyperplane.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Homogeneous.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/AlignedBox.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Quaternion.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Umeyama.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Translation.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Scaling.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/OrthoMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Rotation2D.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/arch
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/arch/Geometry_SIMD.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Transform.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Geometry/ParametrizedLine.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SuperLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SuperLUSupport/SuperLUSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/MetisSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/MetisSupport/MetisSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdList.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdDeque.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/details.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky/SimplicialCholesky_impl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky/SimplicialCholesky.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/GeneralizedEigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/EigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealSchur_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealSchur.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexSchur_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/Tridiagonalization.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/HessenbergDecomposition.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexEigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/GeneralizedSelfAdjointEigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/SelfAdjointEigenSolver_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexSchur.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/SelfAdjointEigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealQZ.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/MatrixBaseEigenvalues.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/PaStiXSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/PaStiXSupport/PaStiXSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/KLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/src/KLUSupport/KLUSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Eigen/KLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnapi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrncvode.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnsemanticversion.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/spmatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/ocfunc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/section_fwd.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/row_view.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hoc_membf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/backtrace_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnisaac.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/parse_with_deps.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnredef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/cspredef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/dimplic.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hoc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/newton.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/crout.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnmpiuse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/md1redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrniv_mf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrn_ansi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/deflate.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/errcodes.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrniv
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrniv/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrniv/nrniv_decl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/engine.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrnconf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/gpu
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/gpu/nrn_acc_manager.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/membfunc.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/register_mech.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mechanism.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/eion.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/mod2c_core_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile/cabvars.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/core
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/core/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpidec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/lib
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/lib/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpiuse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/config
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/config/config.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/config/version_macros.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/config/neuron_version.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/coreneuron.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/multisend.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/have2want.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/netpar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/tnode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/netcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/partrans.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/tqueue.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/network/netcvode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrn2core_direct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/mech_report.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/file_utils.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/core2nrn_data_return.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/prcellstate.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrn_setup.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/output_spikes.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/lfp.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/setup_fornetcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrn_checkpoint.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/user_params.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrnsection_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/nrn_filehandler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/mem_layout_util.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/phase1.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_event.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports/sonata_report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/reports/nrnreport.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/io/phase2.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/vrecitem.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/utils_cuda.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/nrnmutdec.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/string_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_assert.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/nrnoc_aux.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar/progressbar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/memory_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/profile
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/profile/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/lpt.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/offload.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/units.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/utils.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/randoms
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/randoms/nrnran123.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_stats.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/utils/ivocvect.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrnoc
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md2redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md1redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/membrane_definitions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/multicore.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/fast_imem.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/crout_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/errcodes.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_struct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/ssimplic_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/sparse_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/apps
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/apps/corenrn_parameters.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/permute
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/permute/node_permute.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/permute/cellorder.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/coreneuron/permute/data_layout.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnassrt.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/spconfig.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/runge.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/nrnoc_ml.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/mech_api.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/mcran4.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/ssimplic_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/hocparse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/ssimplic.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/ReinterpretCtr.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/xlcfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/pgccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/fujitsufeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/metalfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/gccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/iccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/open64features.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/sunprofeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/compilerfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/clangfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/crayfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/sse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/msvcfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/openclfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/features/nvccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/threefry.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/conventional
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/conventional/Engine.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/conventional/gsl_cbrng.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/philox.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/gsl_microrng.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/aes.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/array.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/MicroURNG.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/u01fixedpt.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/boxmuller.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/uniform.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/Random123/ars.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/crout
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/crout/crout.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/sparse_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/treeset.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/include/cspmatrix.h
.: replacing existing signature
.: replacing existing signature
.: replacing existing signature
.: replacing existing signature
.: replacing existing signature
"install" of folder "nrn" of folder "cellular" of folder "hpc" of folder "J771755" of folder "P132939" of folder "0" of folder "builds" of folder "gitlab-runner" of folder "Users"
35:57: execution error: Finder got an error: AppleEvent timed out. (-1712)
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release
cfiles =
Mod files: "cabpump.mod" "cachan1.mod" "camchan.mod" "capump.mod" "invlfire.mod" "khhchan.mod" "mcna.mod" "nacaex.mod" "nachan.mod" "release.mod"
Creating 'arm64' directory for .o files.
MODOBJS= ./cabpump.o ./cachan1.o ./camchan.o ./capump.o ./invlfire.o ./khhchan.o ./mcna.o ./nacaex.o ./nachan.o ./release.o
-> Compiling mod_func.cpp
-> NMODL ../cabpump.mod
-> NMODL ../cachan1.mod
-> NMODL ../camchan.mod
Translating cabpump.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/cabpump.cpp
Translating camchan.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/camchan.cpp
Translating cachan1.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/cachan1.cpp
Thread Safe
Notice: Assignment to the GLOBAL variable, "vol", is not thread safe
Thread Safe
-> NMODL ../capump.mod
-> NMODL ../invlfire.mod
-> NMODL ../khhchan.mod
-> NMODL ../mcna.mod
Translating invlfire.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/invlfire.cpp
Translating mcna.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/mcna.cpp
Translating khhchan.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/khhchan.cpp
Translating capump.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/capump.cpp
Thread Safe
Thread Safe
Thread Safe
Thread Safe
-> NMODL ../nacaex.mod
-> NMODL ../nachan.mod
-> NMODL ../release.mod
-> Compiling cabpump.cpp
Translating nacaex.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/nacaex.cpp
Translating nachan.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/nachan.cpp
Translating release.mod into /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install/share/nrn/demo/release/arm64/release.cpp
Thread Safe
Thread Safe
Thread Safe
-> Compiling cachan1.cpp
-> Compiling camchan.cpp
-> Compiling capump.cpp
-> Compiling invlfire.cpp
-> Compiling khhchan.cpp
-> Compiling mcna.cpp
-> Compiling nacaex.cpp
-> Compiling nachan.cpp
-> Compiling release.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/4.1.4_2/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/4.1.4_2/lib
Successfully created arm64/special
NEURON -- VERSION + HEAD (03254ba+) 2023-06-19
Duke, Yale, and the BlueBrain Project -- Copyright 1984-2022
See http://neuron.yale.edu/neuron/credits
Additional mechanisms from files
"cabpump.mod" "cachan1.mod" "camchan.mod" "capump.mod" "invlfire.mod" "khhchan.mod" "mcna.mod" "nacaex.mod" "nachan.mod" "release.mod"
$ export PATH=${INSTALL_DIR}/bin:${PATH}
$ export PYTHONPATH=$PYTHONPATH:$INSTALL_DIR/lib/python/
$ if [[ -f "${INSTALL_DIR}/bin/nrn-enable-sanitizer" ]]; then
$ echo --- bin/nrn-enable-sanitizer ---
--- bin/nrn-enable-sanitizer ---
$ cat bin/nrn-enable-sanitizer
#!/usr/bin/env bash
prefix="${NRNHOME:-/Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/install}"
export NRN_SANITIZER_PRELOAD_VAR=DYLD_INSERT_LIBRARIES
export NRN_SANITIZER_PRELOAD_VAL=/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin/libclang_rt.asan_osx_dynamic.dylib
if [[ "$1" == "--preload" ]]; then
shift
if [[ "$1" == "python" ]]; then
# nrn-enable-sanitizer --preload python is used in the CI, but for it to
# work on macOS we need to substitute the real Python binary in place of any
# shims. This is done from CMake, and PYTHON_EXECUTABLE contains the real
# binary.
shift
DYLD_INSERT_LIBRARIES=/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin/libclang_rt.asan_osx_dynamic.dylib MallocNanoZone=0 ASAN_OPTIONS=check_initialization_order=1:detect_stack_use_after_return=1:detect_leaks=0 /Users/gitlab-runner/builds/0/P132939/J771755/hpc/cellular/nrn/venv/bin/python "$@"
else
DYLD_INSERT_LIBRARIES=/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin/libclang_rt.asan_osx_dynamic.dylib MallocNanoZone=0 ASAN_OPTIONS=check_initialization_order=1:detect_stack_use_after_return=1:detect_leaks=0 "$@"
fi
else
MallocNanoZone=0 ASAN_OPTIONS=check_initialization_order=1:detect_stack_use_after_return=1:detect_leaks=0 "$@"
fi
$ echo ---
---
$ nrn_enable_sanitizer=${INSTALL_DIR}/bin/nrn-enable-sanitizer
$ nrn_enable_sanitizer_preload="${nrn_enable_sanitizer} --preload"
$ else
$ $PYTHON --version && ${nrn_enable_sanitizer_preload} python -c 'import neuron; neuron.test()'
Python 3.10.8
testBytesize (neuron.tests.test_vector.VectorTestCase)
Test that Vector.__array_interface__ returns the proper bytesize (of a double) ... ok
testEndian (neuron.tests.test_vector.VectorTestCase)
Test that Vector.__array_interface__ returns the proper byteorder (endian) ... ok
testNumpyInteraction (neuron.tests.test_vector.VectorTestCase)
Testing numpy.array <=> hoc.Vector interaction ... ok
testPerformance (neuron.tests.test_vector.VectorTestCase)
Test performance of Vector<->list,array ... ok
testABI (neuron.tests.test_neuron.NeuronTestCase)
Test use of some Py_LIMITED_API for python3. ... ok
testExtendedSection (neuron.tests.test_neuron.NeuronTestCase) ...
Executed "l = range(1000000)". Elapsed = 0.000010 s
Executed "v = h.Vector(l)". Elapsed = 0.045854 s
inplace:
Executed "v.from_python(l)". Elapsed = 0.042799 s
Executed "a = numpy.array(v)". Elapsed = 0.003493 s
inplace:
Executed "v.to_python(a)". Elapsed = 0.000823 s
Executed "v2 = h.Vector(a)". Elapsed = 0.003131 s
inplace:
Executed "v2.from_python(a)". Elapsed = 0.000777 s
Executed "l2 = list(v2)". Elapsed = 0.038889 s
inplace:
Executed "v.to_python(l2)". Elapsed = 0.006784 s
Executed "v2 = h.Vector(a[::-1])". Elapsed = 0.003119 s
Executed "a2 = numpy.array(v2)". Elapsed = 0.003302 s
test: ok
testHClass (neuron.tests.test_neuron.NeuronTestCase)
Test subclass of hoc class. ... ok
testHelp (neuron.tests.test_neuron.NeuronTestCase) ... ok
testIterators (neuron.tests.test_neuron.NeuronTestCase)
Test section, segment, mechanism, rangevar iterators. ... ok
testRxDexistence (neuron.tests.test_neuron.NeuronTestCase) ... ok
testSectionArgOrder (neuron.tests.test_neuron.NeuronTestCase)
First optional arg for Section is name (but name="name" is recommended) ... ok
testSectionCell (neuron.tests.test_neuron.NeuronTestCase)
Section.cell() internally referenced as weakref. ... ok
testSectionListIterator (neuron.tests.test_neuron.NeuronTestCase)
As of v8.0, iteration over a SectionList does not change the cas ... ok
test_newobj_err (neuron.tests.test_neuron.NeuronTestCase)
Test deletion of incompletely constructed objects ... NEURON: generate an error
near line 1
ob = new NewObj(1, 2)
^
NewObj[4].execerror("generate a...")
NewObj[4].init(0, 2)
NewObj[3].init(1, 2)
NewObj[2].execute1("ob = new N...", NewObj[2])
and others
ok
testpsection (neuron.tests.test_neuron.NeuronTestCase) ... Syntax:
.. code-block::
python
h.xpanel('name')
h.xpanel('name', [0-1])
h.xpanel()
h.xpanel(x, y)
h.xpanel(scroll)
h.xpanel(scroll, x, y)
Description:
``h.xpanel("name")``
``h.xpanel("name", [0-1])``
Title of a new panel. Every
button, menu, and value between this and a closing ``xpanel()`` command
with no arguments (or placement args) belongs to this panel.
If the form is used with a second argument equal to 1, then
the panel is laid out horizontally. Otherwise the default is vertically.
``h.xpanel()``
``h.xpanel(x, y)``
done constructing the panel. so map it to the screen with position
optionally specified.
``h.xpanel(scroll)``
``h.xpanel(scroll, x, y)``
as above but if the first arg is a number, then the value determines
whether the panel will be inside a scrollbox. Scroll = 0 means a scrollbox
will NOT be used. Scroll = 1 means the panel will be inside a scrollbox.
Scroll = -1 is the default value and whether or not a scrollbox is used
is determined by the number of panel items in comparison with the
value of the panel_scroll property in the nrn.defaults file.
Skipping because NRN_ENABLE_RX3D=False
#NewObj and #Foo in existence 3 6
after del a #NewObj and #Foo in existence 0 0
ok
----------------------------------------------------------------------
Ran 15 tests in 1.697s
OK
$ if [[ ! "${cmake_args[*]}" =~ "NRN_ENABLE_RX3D=OFF" && ! "${cmake_args[*]}" =~ "NRN_ENABLE_CORENEURON=ON" ]]; then
section_end:1687211454:step_script section_start:1687211454:archive_cache Saving cache for successful job
Creating cache mac_m1_cmake_build: [cmake, ON, OFF, OFF, address]-cornu/gnu-1-non_protected...
Runtime platform  arch=arm64 os=darwin pid=74341 revision=43b2dc3d version=15.4.0
./ccache: found 5943 matching files and directories
No URL provided, cache will not be uploaded to shared cache server. Cache will be stored only locally.
Created cache
section_end:1687211467:archive_cache section_start:1687211467:cleanup_file_variables Cleaning up project directory and file based variables
section_end:1687211467:cleanup_file_variables Job succeeded
This file has been truncated, but you can view the full file.
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1687210984:resolve_secrets Resolving secrets
section_end:1687210984:resolve_secrets section_start:1687210984:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor805405595, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 132939, build ref 03254ba273a47daac37c65288eae33082697a88d, job ID 771756
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939, optional exclusive flag , optional cpus per task flag --cpus-per-task=6, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J771756_PROD_P2160_CP0_C0
Job parameters: memory=30750M, cpus_per_task=6, duration=1:00:00, constraint=cpu ntasks=1 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1577073
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=1 --cpus-per-task=6 --mem=30750M --job-name=GL_J771756_PROD_P2160_CP0_C0 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P132939 --n
View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment