Skip to content

Instantly share code, notes, and snippets.

@bbpbuildbot
Created April 2, 2024 09:11
Show Gist options
  • Save bbpbuildbot/b1671a977a56535d73f47ef9582f8b1d to your computer and use it in GitHub Desktop.
Save bbpbuildbot/b1671a977a56535d73f47ef9582f8b1d to your computer and use it in GitHub Desktop.
Logfiles for GitLab pipeline https://bbpgitlab.epfl.ch/hpc/nmodl/-/pipelines/203008 (:white_check_mark:) running on GitHub PR BlueBrain/nmodl#1216.
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1712046775:resolve_secrets Resolving secrets
section_end:1712046775:resolve_secrets section_start:1712046775:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor4122424242, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nmodl
Pipeline ID 203008, build ref , job ID 1226023
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008, optional exclusive flag , optional cpus per task flag --cpus-per-task=6, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1226023_PROD_P30_CP0_C3
Job parameters: memory=30750M, cpus_per_task=6, duration=1:00:00, constraint=cpu ntasks=1 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 2107456
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=1 --cpus-per-task=6 --mem=30750M --job-name=GL_J1226023_PROD_P30_CP0_C3 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008 --ntasks=1 --jobid=2107456 --cpus-per-task=6 --mem=30750M
section_end:1712046776:prepare_executor section_start:1712046776:prepare_script Preparing environment
Using git from spack modules
Running on r1i7n20 via bbpv1.epfl.ch...
section_end:1712046780:prepare_script section_start:1712046780:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1712046781:get_sources section_start:1712046781:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:intel-2-non_protected...
Runtime platform  arch=amd64 os=linux pid=36844 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1712046791:restore_cache section_start:1712046791:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for spack_setup (1226022)...
Runtime platform  arch=amd64 os=linux pid=37148 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1226022 responseStatus=200 OK token=64_MSdPn
section_end:1712046792:download_artifacts section_start:1712046792:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226023/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226023/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226023/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226023/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226023/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:19 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:38 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:81 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:94 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:100 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:105 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:113 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:119 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:124 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:129 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:134 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:142 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:151 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:156 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:185 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:192 db_lock_timeout: 60
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:200 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:205 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:210 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:216 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:221 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:227 install_status: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:231 binary_index_ttl: 600
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:233 flags:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:235 keep_werror: none
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:240 aliases:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:241 concretise: concretize
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:242 containerise: containerize
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:243 rm: remove
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226023/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/concretizer.yaml:23 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/concretizer.yaml:28 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/concretizer.yaml:32 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/concretizer.yaml:38 unify: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/concretizer.yaml:40 duplicates:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/concretizer.yaml:44 strategy: minimal
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008/J1226023_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install nmodl%oneapi +python
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Cache directory: /nvme/bbpcihpcproj12/2107456/ccache
Config file: /nvme/bbpcihpcproj12/2107456/ccache/ccache.conf
System config file: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/ccache-4.7.4-eompz5/etc/ccache.conf
Stats updated: Tue Apr 2 10:33:17 2024
Local storage:
Cache size (GB): 0.46 / 0.51 (89.00%)
Files: 3004
Hits: 0
Misses: 0
Reads: 0
Writes: 0
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- nmodl%oneapi+python
Concretized
--------------------------------
- kesgo5p nmodl@git.20147a8cee3040e1a29f60a68147286005757f72=develop%oneapi@2023.2.0~ipo~legacy-unit~llvm~llvm_cuda+python build_system=cmake build_type=Release generator=ninja arch=linux-rhel7-skylake
[e] yn5fbwg ^bison@3.8.2%gcc@12.3.0 build_system=autotools arch=linux-rhel7-skylake
[^] pywly2v ^catch2@3.4.0%gcc@12.3.0~ipo+pic~shared build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
[^] swjylul ^gmake@4.4.1%gcc@12.3.0~guile build_system=generic arch=linux-rhel7-skylake
[^] ud3echq ^cli11@2.3.2%gcc@12.3.0~ipo build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
[e] b5zzpr3 ^cmake@3.27.7%gcc@12.3.0~doc+ncurses+ownlibs build_system=generic build_type=Release arch=linux-rhel7-skylake
[e] 77vzaxs ^flex@2.6.3%gcc@12.3.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] evtttrh ^fmt@10.1.1%gcc@12.3.0~ipo+pic~shared build_system=cmake build_type=Release cxxstd=11 generator=make arch=linux-rhel7-skylake
[e] omn6mm4 ^ninja@1.11.1%gcc@12.3.0+re2c build_system=generic arch=linux-rhel7-skylake
[^] teske2k ^nlohmann-json@3.9.1%gcc@12.3.0~ipo+multiple_headers build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
[^] 5dylnca ^py-find-libpython@0.3.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 77ku3vv ^py-pip@23.1.2%gcc@12.3.0 build_system=generic arch=linux-rhel7-skylake
[^] p3xbqxj ^py-setuptools@68.0.0%gcc@12.3.0 build_system=generic arch=linux-rhel7-skylake
[^] aj3rk42 ^py-wheel@0.41.2%gcc@12.3.0 build_system=generic arch=linux-rhel7-skylake
[^] 7validy ^py-jinja2@3.1.2%gcc@12.3.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] r3ehtmw ^py-markupsafe@2.1.3%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tabj2mo ^py-pybind11@2.11.1%gcc@12.3.0~ipo build_system=cmake build_type=Release generator=ninja arch=linux-rhel7-skylake
[^] l76xnwr ^py-pytest@7.3.2%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] mfss4bt ^py-iniconfig@2.0.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] qbhlkgj ^py-hatch-vcs@0.3.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] f6wairf ^py-hatchling@1.18.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6domhtg ^py-editables@0.3%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] edobf76 ^py-pathspec@0.11.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] a6ialdh ^py-trove-classifiers@2023.8.7%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jurd5ga ^py-calver@2022.6.26%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] uqml2to ^py-packaging@23.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] h6f6feu ^py-flit-core@3.9.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] lxhgsum ^py-pluggy@1.0.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] slwtska ^py-setuptools-scm@7.1.0%gcc@12.3.0+toml build_system=python_pip arch=linux-rhel7-skylake
[e] 2sazdxk ^git@2.42.0%gcc@12.3.0+man+nls+perl+subtree~svn~tcltk build_system=autotools arch=linux-rhel7-skylake
[^] xwl5l6q ^py-tomli@2.0.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 275jtek ^py-typing-extensions@4.8.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 2inh3rt ^py-pyyaml@5.4.1%gcc@12.3.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] bzl4xcz ^libyaml@0.2.5%gcc@12.3.0 build_system=autotools arch=linux-rhel7-skylake
[^] dw56njg ^py-sympy@1.11.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] quibu6o ^py-mpmath@1.2.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[e] 5wl76vp ^python@3.11.6%gcc@12.3.0+bz2+crypt+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tkinter+uuid+zlib build_system=generic patches=13fa8bf,b0615b2,ebdca64,f2fd060 arch=linux-rhel7-skylake
[^] ihotvbs ^spdlog@1.12.0%gcc@12.3.0+fmt_external~ipo+shared build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008, but it is owned by 0
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/bison-3.8.2-qcx3sg (external bison-3.8.2-yn5fbwgteilgqg6b62shkhkjg3hlwmrq)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/catch2-3.4.0-pywly2
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/cli11-2.3.2-ud3ech
==> cmake@3.27.7 : has external module in ['cmake/3.27.7']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/cmake-3.27.7-slyoes (external cmake-3.27.7-b5zzpr3mwm7jxv6bvvrs6zpeg62cfhbu)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/flex-2.6.3-edx4lf (external flex-2.6.3-77vzaxsa3g7wr3omktr4skpetx5ds4pt)
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/ninja-1.11.1-nxtlgo (external ninja-1.11.1-omn6mm4a3zsbpbkptvdwxs6kkrh6yafw)
==> python@3.11.6 : has external module in ['python/3.11.6']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/python-3.11.6-bj4i6m (external python-3.11.6-5wl76vphfvpcapfaipipf7xn2xylnc4o)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/libyaml-0.2.5-bzl4xc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/fmt-10.1.1-evtttr
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/nlohmann-json-3.9.1-teske2
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pybind11-2.11.1-tabj2m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-setuptools-68.0.0-p3xbqx
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-iniconfig-2.0.0-mfss4b
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-packaging-23.1-uqml2t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/spdlog-1.12.0-ihotvb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pluggy-1.0.0-lxhgsu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-find-libpython-0.3.1-5dylnc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pyyaml-5.4.1-2inh3r
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-mpmath-1.2.1-quibu6
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-markupsafe-2.1.3-r3ehtm
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pytest-7.3.2-l76xnw
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-sympy-1.11.1-dw56nj
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-jinja2-3.1.2-7valid
==> Installing nmodl-git.20147a8cee3040e1a29f60a68147286005757f72=develop-kesgo5pna7lb3zeewz5esnrew4lr56tr [24/24]
==> No binary for nmodl-git.20147a8cee3040e1a29f60a68147286005757f72=develop-kesgo5pna7lb3zeewz5esnrew4lr56tr found: installing from source
==> No patches needed for nmodl
==> nmodl: Executing phase: 'cmake'
==> nmodl: Executing phase: 'build'
==> nmodl: Executing phase: 'install'
==> nmodl: Successfully installed nmodl-git.20147a8cee3040e1a29f60a68147286005757f72=develop-kesgo5pna7lb3zeewz5esnrew4lr56tr
Stage: 54.12s. Cmake: 9.20s. Build: 3m 31.44s. Install: 8.88s. Post-install: 1.35s. Total: 4m 45.83s
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/software/install_oneapi-2023.2.0-skylake/nmodl-git.20147a8cee3040e1a29f60a68147286005757f72_develop-kesgo5
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be kesgo5pna7lb3zeewz5esnrew4lr56tr
$ SPACK_STAGE_DIR=$(spack location -s "${SPACK_FULL_SPEC}")
$ SPACK_BUILD_DIR=$(spack location -b "${SPACK_FULL_SPEC}")
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Cache directory: /nvme/bbpcihpcproj12/2107456/ccache
Config file: /nvme/bbpcihpcproj12/2107456/ccache/ccache.conf
System config file: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/ccache-4.7.4-eompz5/etc/ccache.conf
Stats updated: Tue Apr 2 10:40:11 2024
Cacheable calls: 142 / 171 (83.04%)
Hits: 140 / 142 (98.59%)
Direct: 26 / 140 (18.57%)
Preprocessed: 114 / 140 (81.43%)
Misses: 2 / 142 ( 1.41%)
Uncacheable calls: 29 / 171 (16.96%)
Called for linking: 27 / 29 (93.10%)
No input file: 2 / 29 ( 6.90%)
Successful lookups:
Direct: 26 / 142 (18.31%)
Preprocessed: 114 / 116 (98.28%)
Local storage:
Cache size (GB): 0.46 / 0.51 (89.01%)
Files: 3008
Hits: 140 / 142 (98.59%)
Misses: 2 / 142 ( 1.41%)
Reads: 284
Writes: 4
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1712047212:step_script section_start:1712047212:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:intel-2-non_protected...
Runtime platform  arch=amd64 os=linux pid=47489 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/30/build%3Aintel-2-non_protected
Created cache
section_end:1712047240:archive_cache section_start:1712047240:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=48865 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1226023 responseStatus=201 Created token=64_MSdPn
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=48899 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=1226023 responseStatus=201 Created token=64_MSdPn
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=48943 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1226023 responseStatus=201 Created token=64_MSdPn
section_end:1712047242:upload_artifacts_on_success section_start:1712047242:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1712047242:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1712046775:resolve_secrets Resolving secrets
section_end:1712046775:resolve_secrets section_start:1712046775:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor2987652076, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nmodl
Pipeline ID 203008, build ref , job ID 1226024
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008, optional exclusive flag , optional cpus per task flag --cpus-per-task=6, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1226024_PROD_P30_CP1_C4
Job parameters: memory=30750M, cpus_per_task=6, duration=1:00:00, constraint=cpu ntasks=1 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 2107457
job state: PD
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=1 --cpus-per-task=6 --mem=30750M --job-name=GL_J1226024_PROD_P30_CP1_C4 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008 --ntasks=1 --jobid=2107457 --cpus-per-task=6 --mem=30750M
section_end:1712046779:prepare_executor section_start:1712046779:prepare_script Preparing environment
Using git from spack modules
Running on r1i7n20 via bbpv1.epfl.ch...
section_end:1712046783:prepare_script section_start:1712046783:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1712046784:get_sources section_start:1712046784:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:nvhpc-2-non_protected...
Runtime platform  arch=amd64 os=linux pid=37077 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1712046793:restore_cache section_start:1712046793:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for spack_setup (1226022)...
Runtime platform  arch=amd64 os=linux pid=37550 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1226022 responseStatus=200 OK token=64_Z29Xi
section_end:1712046794:download_artifacts section_start:1712046794:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226024/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226024/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226024/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226024/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226024/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:19 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:38 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:81 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:94 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:100 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:105 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:113 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:119 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:124 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:129 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:134 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:142 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:151 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:156 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:185 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:192 db_lock_timeout: 60
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:200 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:205 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:210 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:216 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:221 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:227 install_status: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:231 binary_index_ttl: 600
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:233 flags:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:235 keep_werror: none
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:240 aliases:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:241 concretise: concretize
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:242 containerise: containerize
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/config.yaml:243 rm: remove
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226024/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/concretizer.yaml:23 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/concretizer.yaml:28 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/concretizer.yaml:32 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/concretizer.yaml:38 unify: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/concretizer.yaml:40 duplicates:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022/spack/etc/spack/defaults/concretizer.yaml:44 strategy: minimal
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008/J1226024_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install nmodl%nvhpc +python ^bison%gcc^flex%gcc^py-jinja2%gcc^py-sympy%gcc^py-pyyaml%gcc
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Cache directory: /nvme/bbpcihpcproj12/2107457/ccache
Config file: /nvme/bbpcihpcproj12/2107457/ccache/ccache.conf
System config file: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/ccache-4.7.4-eompz5/etc/ccache.conf
Stats updated: Tue Apr 2 10:33:18 2024
Local storage:
Cache size (GB): 0.45 / 0.51 (88.82%)
Files: 2971
Hits: 0
Misses: 0
Reads: 0
Writes: 0
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- nmodl%nvhpc+python
- ^bison%gcc
- ^flex%gcc
- ^py-jinja2%gcc
- ^py-pyyaml%gcc
- ^py-sympy%gcc
Concretized
--------------------------------
- 4nu6dbz nmodl@git.20147a8cee3040e1a29f60a68147286005757f72=develop%nvhpc@23.1~ipo~legacy-unit~llvm~llvm_cuda+python build_system=cmake build_type=Release generator=ninja arch=linux-rhel7-skylake
[e] yn5fbwg ^bison@3.8.2%gcc@12.3.0 build_system=autotools arch=linux-rhel7-skylake
[^] pywly2v ^catch2@3.4.0%gcc@12.3.0~ipo+pic~shared build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
[^] swjylul ^gmake@4.4.1%gcc@12.3.0~guile build_system=generic arch=linux-rhel7-skylake
[^] ud3echq ^cli11@2.3.2%gcc@12.3.0~ipo build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
[e] b5zzpr3 ^cmake@3.27.7%gcc@12.3.0~doc+ncurses+ownlibs build_system=generic build_type=Release arch=linux-rhel7-skylake
[e] 77vzaxs ^flex@2.6.3%gcc@12.3.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] evtttrh ^fmt@10.1.1%gcc@12.3.0~ipo+pic~shared build_system=cmake build_type=Release cxxstd=11 generator=make arch=linux-rhel7-skylake
[e] omn6mm4 ^ninja@1.11.1%gcc@12.3.0+re2c build_system=generic arch=linux-rhel7-skylake
[^] teske2k ^nlohmann-json@3.9.1%gcc@12.3.0~ipo+multiple_headers build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
[^] 5dylnca ^py-find-libpython@0.3.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 77ku3vv ^py-pip@23.1.2%gcc@12.3.0 build_system=generic arch=linux-rhel7-skylake
[^] p3xbqxj ^py-setuptools@68.0.0%gcc@12.3.0 build_system=generic arch=linux-rhel7-skylake
[^] aj3rk42 ^py-wheel@0.41.2%gcc@12.3.0 build_system=generic arch=linux-rhel7-skylake
[^] 7validy ^py-jinja2@3.1.2%gcc@12.3.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] r3ehtmw ^py-markupsafe@2.1.3%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tabj2mo ^py-pybind11@2.11.1%gcc@12.3.0~ipo build_system=cmake build_type=Release generator=ninja arch=linux-rhel7-skylake
[^] l76xnwr ^py-pytest@7.3.2%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] mfss4bt ^py-iniconfig@2.0.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] qbhlkgj ^py-hatch-vcs@0.3.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] f6wairf ^py-hatchling@1.18.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6domhtg ^py-editables@0.3%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] edobf76 ^py-pathspec@0.11.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] a6ialdh ^py-trove-classifiers@2023.8.7%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jurd5ga ^py-calver@2022.6.26%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] uqml2to ^py-packaging@23.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] h6f6feu ^py-flit-core@3.9.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] lxhgsum ^py-pluggy@1.0.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] slwtska ^py-setuptools-scm@7.1.0%gcc@12.3.0+toml build_system=python_pip arch=linux-rhel7-skylake
[e] 2sazdxk ^git@2.42.0%gcc@12.3.0+man+nls+perl+subtree~svn~tcltk build_system=autotools arch=linux-rhel7-skylake
[^] xwl5l6q ^py-tomli@2.0.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 275jtek ^py-typing-extensions@4.8.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 2inh3rt ^py-pyyaml@5.4.1%gcc@12.3.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] bzl4xcz ^libyaml@0.2.5%gcc@12.3.0 build_system=autotools arch=linux-rhel7-skylake
[^] dw56njg ^py-sympy@1.11.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] quibu6o ^py-mpmath@1.2.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[e] 5wl76vp ^python@3.11.6%gcc@12.3.0+bz2+crypt+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tkinter+uuid+zlib build_system=generic patches=13fa8bf,b0615b2,ebdca64,f2fd060 arch=linux-rhel7-skylake
[^] ihotvbs ^spdlog@1.12.0%gcc@12.3.0+fmt_external~ipo+shared build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008, but it is owned by 0
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/bison-3.8.2-qcx3sg (external bison-3.8.2-yn5fbwgteilgqg6b62shkhkjg3hlwmrq)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/catch2-3.4.0-pywly2
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/cli11-2.3.2-ud3ech
==> cmake@3.27.7 : has external module in ['cmake/3.27.7']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/cmake-3.27.7-slyoes (external cmake-3.27.7-b5zzpr3mwm7jxv6bvvrs6zpeg62cfhbu)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/flex-2.6.3-edx4lf (external flex-2.6.3-77vzaxsa3g7wr3omktr4skpetx5ds4pt)
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/ninja-1.11.1-nxtlgo (external ninja-1.11.1-omn6mm4a3zsbpbkptvdwxs6kkrh6yafw)
==> python@3.11.6 : has external module in ['python/3.11.6']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/python-3.11.6-bj4i6m (external python-3.11.6-5wl76vphfvpcapfaipipf7xn2xylnc4o)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/libyaml-0.2.5-bzl4xc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/nlohmann-json-3.9.1-teske2
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/fmt-10.1.1-evtttr
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pluggy-1.0.0-lxhgsu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-mpmath-1.2.1-quibu6
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-find-libpython-0.3.1-5dylnc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-packaging-23.1-uqml2t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-iniconfig-2.0.0-mfss4b
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pybind11-2.11.1-tabj2m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-setuptools-68.0.0-p3xbqx
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/spdlog-1.12.0-ihotvb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pyyaml-5.4.1-2inh3r
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-sympy-1.11.1-dw56nj
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pytest-7.3.2-l76xnw
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-markupsafe-2.1.3-r3ehtm
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-jinja2-3.1.2-7valid
==> Installing nmodl-git.20147a8cee3040e1a29f60a68147286005757f72=develop-4nu6dbzmnkmvhaskyiwqmyhctqlcurus [24/24]
==> No binary for nmodl-git.20147a8cee3040e1a29f60a68147286005757f72=develop-4nu6dbzmnkmvhaskyiwqmyhctqlcurus found: installing from source
==> No patches needed for nmodl
==> nmodl: Executing phase: 'cmake'
==> nmodl: Executing phase: 'build'
==> nmodl: Executing phase: 'install'
==> nmodl: Successfully installed nmodl-git.20147a8cee3040e1a29f60a68147286005757f72=develop-4nu6dbzmnkmvhaskyiwqmyhctqlcurus
Stage: 51.92s. Cmake: 2.67s. Build: 3m 58.03s. Install: 5.00s. Post-install: 0.45s. Total: 4m 58.35s
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/software/install_nvhpc-23.1-skylake/nmodl-git.20147a8cee3040e1a29f60a68147286005757f72_develop-4nu6db
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be 4nu6dbzmnkmvhaskyiwqmyhctqlcurus
$ SPACK_STAGE_DIR=$(spack location -s "${SPACK_FULL_SPEC}")
$ SPACK_BUILD_DIR=$(spack location -b "${SPACK_FULL_SPEC}")
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Cache directory: /nvme/bbpcihpcproj12/2107457/ccache
Config file: /nvme/bbpcihpcproj12/2107457/ccache/ccache.conf
System config file: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/ccache-4.7.4-eompz5/etc/ccache.conf
Stats updated: Tue Apr 2 10:40:13 2024
Cacheable calls: 140 / 162 (86.42%)
Hits: 138 / 140 (98.57%)
Direct: 24 / 138 (17.39%)
Preprocessed: 114 / 138 (82.61%)
Misses: 2 / 140 ( 1.43%)
Uncacheable calls: 22 / 162 (13.58%)
Called for linking: 22 / 22 (100.0%)
Successful lookups:
Direct: 24 / 140 (17.14%)
Preprocessed: 114 / 116 (98.28%)
Local storage:
Cache size (GB): 0.45 / 0.51 (88.83%)
Files: 2975
Hits: 138 / 140 (98.57%)
Misses: 2 / 140 ( 1.43%)
Reads: 280
Writes: 4
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1712047214:step_script section_start:1712047214:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:nvhpc-2-non_protected...
Runtime platform  arch=amd64 os=linux pid=47688 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/30/build%3Anvhpc-2-non_protected
Created cache
section_end:1712047248:archive_cache section_start:1712047248:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=49665 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1226024 responseStatus=201 Created token=64_Z29Xi
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=49697 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=1226024 responseStatus=201 Created token=64_Z29Xi
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=49725 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1226024 responseStatus=201 Created token=64_Z29Xi
section_end:1712047249:upload_artifacts_on_success section_start:1712047249:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1712047250:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1712046538:resolve_secrets Resolving secrets
section_end:1712046538:resolve_secrets section_start:1712046538:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor1435614125, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nmodl
Pipeline ID 203008, build ref , job ID 1226022
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008, optional exclusive flag , optional cpus per task flag --cpus-per-task=6, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1226022_PROD_P30_CP0_C3
Job parameters: memory=30750M, cpus_per_task=6, duration=1:00:00, constraint=cpu ntasks=1 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 2107453
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=1 --cpus-per-task=6 --mem=30750M --job-name=GL_J1226022_PROD_P30_CP0_C3 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008 --ntasks=1 --jobid=2107453 --cpus-per-task=6 --mem=30750M
section_end:1712046539:prepare_executor section_start:1712046539:prepare_script Preparing environment
Using git from spack modules
Running on r1i7n20 via bbpv1.epfl.ch...
section_end:1712046544:prepare_script section_start:1712046544:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1712046545:get_sources section_start:1712046545:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ if [[ -n "${SPACK_ENV_FILE_URL}" && "${PARSE_GITHUB_PR_DESCRIPTIONS,,}" == "true" ]]; then
$ cat > parse_description.py << END_SCRIPT # collapsed multi-line command
$ cat parse_description.py
import os
import re
import requests
pr_info = requests.get("https://api.github.com/repos/{}/pulls/{}".format(
os.environ['CI_EXTERNAL_PULL_REQUEST_TARGET_REPOSITORY'],
os.environ['CI_EXTERNAL_PULL_REQUEST_IID']),
headers={'Accept': 'application/vnd.github.v3+json'})
pr_body = pr_info.json()["body"]
# match something like NEURON_BRANCH=foo/bar
# special case for SPACK_DEPLOYMENT_SUFFIX=foo/bar
pat = re.compile('^([A-Z0-9_]+)_([A-Z]+)=([A-Z0-9\-\_\/\+\.]+)$', re.IGNORECASE)
def parse_term(m):
ref_type = m.group(2).lower()
is_deployment_suffix = ref_type == 'suffix' and m.group(1).lower() == 'spack_deployment'
if ref_type not in {'branch', 'tag', 'ref'} and not is_deployment_suffix: return
print(m.group(1).upper() + '_' + ref_type.upper() + '=' + m.group(3))
if pr_body is not None:
for pr_body_line in pr_body.splitlines():
if not pr_body_line.startswith('CI_BRANCHES:'): continue
for config_term in pr_body_line[12:].split(','):
pat.sub(parse_term, config_term)
$ (module load unstable python-dev; python parse_description.py) > input_variables.env
$ else
$ cat input_variables.env
$ for var_to_unset in $(sed 's/^\(.*\?\)_\(BRANCH\|COMMIT\|TAG\)=.*$/\1_BRANCH\n\1_COMMIT\n\1_TAG/' input_variables.env); do # collapsed multi-line command
$ set -o allexport
$ . input_variables.env
$ set +o allexport
$ unset MODULEPATH
$ . /gpfs/bbp.cscs.ch/ssd/apps/bsd/${SPACK_DEPLOYMENT_SUFFIX}/config/modules.sh
$ echo "MODULEPATH=${MODULEPATH}" > spack_clone_variables.env
$ echo Preparing to clone Spack into ${PWD}
Preparing to clone Spack into /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226022
$ if [[ -z "${SPACK_BRANCH}" && ( -n "${SPACK_COMMIT}" || -n "${SPACK_TAG}" ) ]]; then
$ echo Checking out the ${SPACK_BRANCH} of Spack...
Checking out the develop of Spack...
$ module load unstable git
$ git clone -c feature.manyFiles=true --depth 1 --single-branch --branch ${SPACK_BRANCH} ${SPACK_URL} spack
Cloning into 'spack'...
Updating files: 6% (683/11296) Updating files: 7% (791/11296) Updating files: 8% (904/11296) Updating files: 9% (1017/11296) Updating files: 10% (1130/11296) Updating files: 11% (1243/11296) Updating files: 12% (1356/11296) Updating files: 13% (1469/11296) Updating files: 14% (1582/11296) Updating files: 15% (1695/11296) Updating files: 16% (1808/11296) Updating files: 16% (1902/11296) Updating files: 17% (1921/11296) Updating files: 18% (2034/11296) Updating files: 19% (2147/11296) Updating files: 20% (2260/11296) Updating files: 21% (2373/11296) Updating files: 22% (2486/11296) Updating files: 23% (2599/11296) Updating files: 24% (2712/11296) Updating files: 25% (2824/11296) Updating files: 26% (2937/11296) Updating files: 26% (2966/11296) Updating files: 27% (3050/11296) Updating files: 28% (3163/11296) Updating files: 29% (3276/11296) Updating files: 30% (3389/11296) Updating files: 31% (3502/11296) Updating files: 32% (3615/11296) Updating files: 32% (3695/11296) Updating files: 33% (3728/11296) Updating files: 34% (3841/11296) Updating files: 35% (3954/11296) Updating files: 36% (4067/11296) Updating files: 36% (4164/11296) Updating files: 37% (4180/11296) Updating files: 38% (4293/11296) Updating files: 39% (4406/11296) Updating files: 40% (4519/11296) Updating files: 40% (4527/11296) Updating files: 41% (4632/11296) Updating files: 42% (4745/11296) Updating files: 43% (4858/11296) Updating files: 43% (4906/11296) Updating files: 44% (4971/11296) Updating files: 45% (5084/11296) Updating files: 46% (5197/11296) Updating files: 47% (5310/11296) Updating files: 47% (5342/11296) Updating files: 48% (5423/11296) Updating files: 49% (5536/11296) Updating files: 50% (5648/11296) Updating files: 50% (5692/11296) Updating files: 51% (5761/11296) Updating files: 52% (5874/11296) Updating files: 53% (5987/11296) Updating files: 54% (6100/11296) Updating files: 55% (6213/11296) Updating files: 56% (6326/11296) Updating files: 56% (6380/11296) Updating files: 57% (6439/11296) Updating files: 58% (6552/11296) Updating files: 59% (6665/11296) Updating files: 60% (6778/11296) Updating files: 60% (6854/11296) Updating files: 61% (6891/11296) Updating files: 62% (7004/11296) Updating files: 63% (7117/11296) Updating files: 63% (7173/11296) Updating files: 64% (7230/11296) Updating files: 65% (7343/11296) Updating files: 66% (7456/11296) Updating files: 66% (7481/11296) Updating files: 67% (7569/11296) Updating files: 68% (7682/11296) Updating files: 68% (7763/11296) Updating files: 69% (7795/11296) Updating files: 70% (7908/11296) Updating files: 71% (8021/11296) Updating files: 71% (8113/11296) Updating files: 72% (8134/11296) Updating files: 73% (8247/11296) Updating files: 74% (8360/11296) Updating files: 75% (8472/11296) Updating files: 75% (8503/11296) Updating files: 76% (8585/11296) Updating files: 77% (8698/11296) Updating files: 78% (8811/11296) Updating files: 79% (8924/11296) Updating files: 80% (9037/11296) Updating files: 81% (9150/11296) Updating files: 82% (9263/11296) Updating files: 82% (9297/11296) Updating files: 83% (9376/11296) Updating files: 84% (9489/11296) Updating files: 85% (9602/11296) Updating files: 86% (9715/11296) Updating files: 87% (9828/11296) Updating files: 88% (9941/11296) Updating files: 89% (10054/11296) Updating files: 90% (10167/11296) Updating files: 91% (10280/11296) Updating files: 92% (10393/11296) Updating files: 92% (10487/11296) Updating files: 93% (10506/11296) Updating files: 94% (10619/11296) Updating files: 95% (10732/11296) Updating files: 96% (10845/11296) Updating files: 97% (10958/11296) Updating files: 98% (11071/11296) Updating files: 99% (11184/11296) Updating files: 99% (11190/11296) Updating files: 100% (11296/11296) Updating files: 100% (11296/11296), done.
$ export SPACK_ROOT=${PWD}/spack
$ export SPACK_RESOLVED_COMMIT=$(cd "${SPACK_ROOT}" && git rev-parse HEAD)
$ export SPACK_USER_CACHE_PATH="${CI_BUILDS_DIR}"
$ export SPACK_SYSTEM_CONFIG_PATH="/gpfs/bbp.cscs.ch/ssd/apps/bsd/${SPACK_DEPLOYMENT_SUFFIX}/config"
$ echo "SPACK_ROOT=${SPACK_ROOT}" >> spack_clone_variables.env
$ echo "SPACK_RESOLVED_COMMIT=${SPACK_RESOLVED_COMMIT}" >> spack_clone_variables.env
$ echo "SPACK_USER_CACHE_PATH=${SPACK_USER_CACHE_PATH}" >> spack_clone_variables.env
$ echo "SPACK_SYSTEM_CONFIG_PATH=${SPACK_SYSTEM_CONFIG_PATH}" >> spack_clone_variables.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008/J1226022_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ env -0 | sed -nz '/^CUSTOM_ENV_/d;/^[^=]\+_\(BRANCH\|COMMIT\|TAG\)=.\+/p' | xargs -0t spack ${SPACK_EXTRA_FLAGS} configure-pipeline --ignore-packages CI_BUILD CI_COMMIT CI_DEFAULT GITLAB_PIPELINES SPACK SPACK_RESOLVED ${SPACK_SETUP_IGNORE_PACKAGE_VARIABLES} --write-commit-file=commit-mapping.env
spack configure-pipeline --ignore-packages CI_BUILD CI_COMMIT CI_DEFAULT GITLAB_PIPELINES SPACK SPACK_RESOLVED CVF BLUECONFIGS --write-commit-file=commit-mapping.env CI_COMMIT_BRANCH=jelic/macos_arm64_ci NMODL_COMMIT=20147a8cee3040e1a29f60a68147286005757f72 SPACK_RESOLVED_COMMIT=2da54bab34cf746dec3b1581add994457a73623a NEURON_BRANCH=master LIBSONATA_REPORT_BRANCH=master SPACK_BRANCH=develop CI_DEFAULT_BRANCH=master CVF_BRANCH=main BLUECONFIGS_BRANCH=main
==> CI_COMMIT: ignoring CI_COMMIT_BRANCH=jelic/macos_arm64_ci
==> SPACK_RESOLVED: ignoring SPACK_RESOLVED_COMMIT=2da54bab34cf746dec3b1581add994457a73623a
==> SPACK: ignoring SPACK_BRANCH=develop
==> CI_DEFAULT: ignoring CI_DEFAULT_BRANCH=master
==> CVF: ignoring CVF_BRANCH=main
==> BLUECONFIGS: ignoring BLUECONFIGS_BRANCH=main
==> neuron: resolved branch master to b4935af4818fe671b233a9c725974091642af442
==> libsonata-report: resolved branch master to ea01d1df3350c3529f7a97db97d5c51272a08e8e
==> adding config: packages:nmodl:require: '@git.20147a8cee3040e1a29f60a68147286005757f72=develop'
==> adding config: packages:neuron:require: '@git.b4935af4818fe671b233a9c725974091642af442=develop'
==> adding config: packages:libsonata-report:require: '@git.ea01d1df3350c3529f7a97db97d5c51272a08e8e=develop'
$ (cd "${SPACK_ROOT}" && git diff)
$ cat commit-mapping.env
NMODL_COMMIT=20147a8cee3040e1a29f60a68147286005757f72
NEURON_COMMIT=b4935af4818fe671b233a9c725974091642af442
LIBSONATA_REPORT_COMMIT=ea01d1df3350c3529f7a97db97d5c51272a08e8e
$ echo "SPACK_BRANCH=${SPACK_BRANCH}" >> commit-mapping.env
$ echo "SPACK_DEPLOYMENT_SUFFIX=${SPACK_DEPLOYMENT_SUFFIX}" >> commit-mapping.env
$ cat commit-mapping.env >> spack_clone_variables.env
$ spack ${SPACK_EXTRA_FLAGS} spec -IL ninja
==> Warning: Expected user 904556 to own /nvme/bbpcihpcproj12, but it is owned by 0
==> Fetching https://mirror.spack.io/bootstrap/github-actions/v0.5/build_cache/linux-centos7-x86_64-gcc-10.2.1-patchelf-0.18.0-afv7arjarb7nzmlh7c5slkfxykybuqce.spec.json
==> Fetching https://mirror.spack.io/bootstrap/github-actions/v0.5/build_cache/linux-centos7-x86_64/gcc-10.2.1/patchelf-0.18.0/linux-centos7-x86_64-gcc-10.2.1-patchelf-0.18.0-afv7arjarb7nzmlh7c5slkfxykybuqce.spack
==> Installing "patchelf@=0.18.0%gcc@=10.2.1 ldflags="-static-libstdc++ -static-libgcc" build_system=autotools arch=linux-centos7-x86_64" from a buildcache
==> Fetching https://mirror.spack.io/bootstrap/github-actions/v0.5/build_cache/linux-centos7-x86_64-gcc-10.2.1-clingo-bootstrap-spack-t4yf34cuvquqp5xd66zybmcfyhwbdlsf.spec.json
==> Fetching https://mirror.spack.io/bootstrap/github-actions/v0.5/build_cache/linux-centos7-x86_64/gcc-10.2.1/clingo-bootstrap-spack/linux-centos7-x86_64-gcc-10.2.1-clingo-bootstrap-spack-t4yf34cuvquqp5xd66zybmcfyhwbdlsf.spack
==> Installing "clingo-bootstrap@=spack%gcc@=10.2.1~docs+ipo+optimized+python+static_libstdcpp build_system=cmake build_type=Release generator=make patches=bebb819,ec99431 arch=linux-centos7-x86_64" from a buildcache
Input spec
--------------------------------
- ninja
Concretized
--------------------------------
[e] omn6mm4a3zsbpbkptvdwxs6kkrh6yafw ninja@1.11.1%gcc@12.3.0+re2c build_system=generic arch=linux-rhel7-skylake
$ echo "SPACK_SETUP_COMMIT_MAPPING_URL=${CI_API_V4_URL}/projects/${CI_PROJECT_ID}/jobs/${CI_JOB_ID}/artifacts/commit-mapping.env" >> spack_clone_variables.env
$ spack ${SPACK_EXTRA_FLAGS} config --scope site add "config:ccache:true"
$ echo "SPACK_USE_CCACHE=true" >> spack_clone_variables.env
$ echo "CVF_BRANCH=${CVF_BRANCH}" >> spack_clone_variables.env
$ echo "BLUECONFIGS_BRANCH=${BLUECONFIGS_BRANCH}" >> spack_clone_variables.env
section_end:1712046770:step_script section_start:1712046770:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=35836 revision=58ba2b95 version=14.2.0
commit-mapping.env: found 1 matching files and directories
input_variables.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1226022 responseStatus=201 Created token=64_arV5q
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=35878 revision=58ba2b95 version=14.2.0
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1226022 responseStatus=201 Created token=64_arV5q
section_end:1712046771:upload_artifacts_on_success section_start:1712046771:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1712046772:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1712047246:resolve_secrets Resolving secrets
section_end:1712047246:resolve_secrets section_start:1712047246:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor1068071192, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nmodl
Pipeline ID 203008, build ref , job ID 1226025
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008, optional exclusive flag , optional cpus per task flag --cpus-per-task=1, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1226025_PROD_P30_CP0_C3
Job parameters: memory=30750M, cpus_per_task=1, duration=1:00:00, constraint=cpu ntasks=1 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 2107469
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=1 --cpus-per-task=1 --mem=30750M --job-name=GL_J1226025_PROD_P30_CP0_C3 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008 --ntasks=1 --jobid=2107469 --cpus-per-task=1 --mem=30750M
section_end:1712047247:prepare_executor section_start:1712047247:prepare_script Preparing environment
Using git from spack modules
Running on r1i7n20 via bbpv1.epfl.ch...
section_end:1712047252:prepare_script section_start:1712047252:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1712047253:get_sources section_start:1712047253:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:intel (1226023)...
Runtime platform  arch=amd64 os=linux pid=50452 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1226023 responseStatus=200 OK token=64_f64CY
section_end:1712047254:download_artifacts section_start:1712047254:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ export CTEST_PARALLEL_LEVEL=${SLURM_TASKS_PER_NODE}
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ cd ${SPACK_BUILD_DIR}
$ export BOOST_TEST_COLOR_OUTPUT=no
$ i_am_a_failure=0
$ spack ${SPACK_EXTRA_FLAGS} build-env ${SPACK_FULL_SPEC} -- ctest --no-tests=error --output-on-failure -T Test || i_am_a_failure=1
Site: r1i7n20
Build name: Linux-icpx
Create new tag: 20240402-0841 - Experimental
Test project /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226023/spack-build/spack-stage-nmodl-git.20147a8cee3040e1a29f60a68147286005757f72_develop-kesgo5pna7lb3zeewz5esnrew4lr56tr/spack-build-kesgo5p
Start 1: testcodegen/Scenario: unusual / failing mod files
1/111 Test #1: testcodegen/Scenario: unusual / failing mod files ................................................... Passed 0.31 sec
Start 2: testcodegen/Scenario: Check global variable setup
2/111 Test #2: testcodegen/Scenario: Check global variable setup ................................................... Passed 0.27 sec
Start 3: testcodegen/Scenario: C codegen utility functions
3/111 Test #3: testcodegen/Scenario: C codegen utility functions ................................................... Passed 0.30 sec
Start 4: testcodegen/Scenario: Check instance variable definition order
4/111 Test #4: testcodegen/Scenario: Check instance variable definition order ...................................... Passed 0.31 sec
Start 5: testcodegen/Scenario: Check parameter constness with VERBATIM block
5/111 Test #5: testcodegen/Scenario: Check parameter constness with VERBATIM block ................................. Passed 0.32 sec
Start 6: testcodegen/Scenario: Check NEURON globals are added to the instance struct on demand
6/111 Test #6: testcodegen/Scenario: Check NEURON globals are added to the instance struct on demand ............... Passed 0.35 sec
Start 7: testcodegen/Scenario: Check code generation for TABLE statements
7/111 Test #7: testcodegen/Scenario: Check code generation for TABLE statements .................................... Passed 0.35 sec
Start 8: testcodegen/Scenario: Check that BEFORE/AFTER block are well generated
8/111 Test #8: testcodegen/Scenario: Check that BEFORE/AFTER block are well generated .............................. Passed 0.32 sec
Start 9: testcodegen/Scenario: Check CONSTANT variables are added to global variable structure
9/111 Test #9: testcodegen/Scenario: Check CONSTANT variables are added to global variable structure ............... Passed 0.35 sec
Start 10: testcodegen/Scenario: Check code generation for FUNCTION_TABLE block
10/111 Test #10: testcodegen/Scenario: Check code generation for FUNCTION_TABLE block ................................ Passed 0.34 sec
Start 11: testcodegen/Scenario: Check that loops are well generated
11/111 Test #11: testcodegen/Scenario: Check that loops are well generated ........................................... Passed 0.32 sec
Start 12: testcodegen/Scenario: Check that top verbatim blocks are well generated
12/111 Test #12: testcodegen/Scenario: Check that top verbatim blocks are well generated ............................. Passed 0.34 sec
Start 13: testcodegen/Scenario: Check that codegen generate event functions well
13/111 Test #13: testcodegen/Scenario: Check that codegen generate event functions well .............................. Passed 0.34 sec
Start 14: testcodegen/Scenario: Some tests on derivimplicit
14/111 Test #14: testcodegen/Scenario: Some tests on derivimplicit ................................................... Passed 0.26 sec
Start 15: testcodegen/Scenario: Some tests on euler solver
15/111 Test #15: testcodegen/Scenario: Some tests on euler solver .................................................... Passed 0.25 sec
Start 16: testcodegen/Scenario: Check codegen for MUTEX and PROTECT
16/111 Test #16: testcodegen/Scenario: Check codegen for MUTEX and PROTECT ........................................... Passed 0.25 sec
Start 17: testcodegen/Scenario: Array STATE variable
17/111 Test #17: testcodegen/Scenario: Array STATE variable .......................................................... Passed 0.24 sec
Start 18: testcodegen/Scenario: Check whether PROCEDURE and FUNCTION need setdata call
18/111 Test #18: testcodegen/Scenario: Check whether PROCEDURE and FUNCTION need setdata call ........................ Passed 0.22 sec
Start 19: testcodegen/Scenario: Adding a variable for a table inside a function
19/111 Test #19: testcodegen/Scenario: Adding a variable for a table inside a function ............................... Passed 0.24 sec
Start 20: testcodegen/Scenario: Uncompatible constructs should failed
20/111 Test #20: testcodegen/Scenario: Uncompatible constructs should failed ......................................... Passed 0.24 sec
Start 21: testmodtoken/NMODL Lexer returning valid ModToken object
21/111 Test #21: testmodtoken/NMODL Lexer returning valid ModToken object ............................................ Passed 0.09 sec
Start 22: testmodtoken/Addition of two ModToken objects
22/111 Test #22: testmodtoken/Addition of two ModToken objects ....................................................... Passed 0.09 sec
Start 23: testlexer/NMODL Lexer returning valid token types
23/111 Test #23: testlexer/NMODL Lexer returning valid token types ................................................... Passed 0.10 sec
Start 24: testparser/Scenario: NMODL can accept CR as return char for one line comment
24/111 Test #24: testparser/Scenario: NMODL can accept CR as return char for one line comment ........................ Passed 0.18 sec
Start 25: testparser/Scenario: NMODL can define macros using DEFINE keyword
25/111 Test #25: testparser/Scenario: NMODL can define macros using DEFINE keyword ................................... Passed 0.17 sec
Start 26: testparser/Scenario: Macros can be used anywhere in the mod file
26/111 Test #26: testparser/Scenario: Macros can be used anywhere in the mod file .................................... Passed 0.18 sec
Start 27: testparser/Scenario: NMODL parser accepts empty unit specification
27/111 Test #27: testparser/Scenario: NMODL parser accepts empty unit specification .................................. Passed 0.18 sec
Start 28: testparser/Scenario: NMODL parser running number of valid NMODL constructs
28/111 Test #28: testparser/Scenario: NMODL parser running number of valid NMODL constructs .......................... Passed 0.23 sec
Start 29: testparser/Scenario: NMODL parser running number of invalid NMODL constructs
29/111 Test #29: testparser/Scenario: NMODL parser running number of invalid NMODL constructs ........................ Passed 0.16 sec
Start 30: testparser/Scenario: Check that the parser doesn't crash when passing invalid INCLUDE constructs
30/111 Test #30: testparser/Scenario: Check that the parser doesn't crash when passing invalid INCLUDE constructs .... Passed 0.18 sec
Start 31: testparser/Scenario: NEURON block can add CURIE information
31/111 Test #31: testparser/Scenario: NEURON block can add CURIE information ......................................... Passed 0.18 sec
Start 32: testparser/Scenario: Check parents in valid NMODL constructs
32/111 Test #32: testparser/Scenario: Check parents in valid NMODL constructs ........................................ Passed 0.55 sec
Start 33: testparser/Scenario: Legacy differential equation solver
33/111 Test #33: testparser/Scenario: Legacy differential equation solver ............................................ Passed 0.18 sec
Start 34: testparser/Scenario: Check if a NEURON block is parsed with correct location info in its token
34/111 Test #34: testparser/Scenario: Check if a NEURON block is parsed with correct location info in its token ...... Passed 0.18 sec
Start 35: testvisitor/Scenario: AfterCVodeToCnexpVisitor changes after_cvode solver method to cnexp
35/111 Test #35: testvisitor/Scenario: AfterCVodeToCnexpVisitor changes after_cvode solver method to cnexp ........... Passed 0.25 sec
Start 36: testvisitor/Scenario: Perform constant folder on NMODL constructs
36/111 Test #36: testvisitor/Scenario: Perform constant folder on NMODL constructs ................................... Passed 0.26 sec
Start 37: testvisitor/Scenario: Perform DefUse analysis on NMODL constructs
37/111 Test #37: testvisitor/Scenario: Perform DefUse analysis on NMODL constructs ................................... Passed 0.27 sec
Start 38: testvisitor/Scenario: GLOBAL to RANGE variable transformer
38/111 Test #38: testvisitor/Scenario: GLOBAL to RANGE variable transformer .......................................... Passed 0.24 sec
Start 39: testvisitor/Scenario: Check insertion of implicit arguments
39/111 Test #39: testvisitor/Scenario: Check insertion of implicit arguments ......................................... Passed 0.23 sec
Start 40: testvisitor/Scenario: Inlining of external procedure calls
40/111 Test #40: testvisitor/Scenario: Inlining of external procedure calls .......................................... Passed 0.23 sec
Start 41: testvisitor/Scenario: Inlining of function call as argument in external function
41/111 Test #41: testvisitor/Scenario: Inlining of function call as argument in external function .................... Passed 0.25 sec
Start 42: testvisitor/Scenario: Inlining of simple, one level procedure call
42/111 Test #42: testvisitor/Scenario: Inlining of simple, one level procedure call .................................. Passed 0.25 sec
Start 43: testvisitor/Scenario: Inlining of nested procedure call
43/111 Test #43: testvisitor/Scenario: Inlining of nested procedure call ............................................. Passed 0.22 sec
Start 44: testvisitor/Scenario: Inline function call in procedure
44/111 Test #44: testvisitor/Scenario: Inline function call in procedure ............................................. Passed 0.23 sec
Start 45: testvisitor/Scenario: Inling function call within conditional statement
45/111 Test #45: testvisitor/Scenario: Inling function call within conditional statement ............................. Passed 0.23 sec
Start 46: testvisitor/Scenario: Inline multiple function calls in same statement
46/111 Test #46: testvisitor/Scenario: Inline multiple function calls in same statement .............................. Passed 0.24 sec
Start 47: testvisitor/Scenario: Inline nested function calls withing arguments
47/111 Test #47: testvisitor/Scenario: Inline nested function calls withing arguments ................................ Passed 0.23 sec
Start 48: testvisitor/Scenario: Inline function call in non-binary expression
48/111 Test #48: testvisitor/Scenario: Inline function call in non-binary expression ................................. Passed 0.24 sec
Start 49: testvisitor/Scenario: Inline function call as standalone expression
49/111 Test #49: testvisitor/Scenario: Inline function call as standalone expression ................................. Passed 0.23 sec
Start 50: testvisitor/Scenario: Inline procedure call as standalone statement as well as part of expression
50/111 Test #50: testvisitor/Scenario: Inline procedure call as standalone statement as well as part of expression ... Passed 0.24 sec
Start 51: testvisitor/Scenario: Inlining pass handles local-global name conflict
51/111 Test #51: testvisitor/Scenario: Inlining pass handles local-global name conflict .............................. Passed 0.18 sec
Start 52: testvisitor/Scenario: Trying to inline a function with VERBATIM block
52/111 Test #52: testvisitor/Scenario: Trying to inline a function with VERBATIM block ............................... Passed 0.11 sec
Start 53: testvisitor/Convert NMODL to AST to JSON form using JSONVisitor
53/111 Test #53: testvisitor/Convert NMODL to AST to JSON form using JSONVisitor ..................................... Passed 0.20 sec
Start 54: testvisitor/Scenario: Convert KINETIC to DERIVATIVE using KineticBlock visitor
54/111 Test #54: testvisitor/Scenario: Convert KINETIC to DERIVATIVE using KineticBlock visitor ...................... Passed 0.22 sec
Start 55: testvisitor/Scenario: Localizer test with single global block
55/111 Test #55: testvisitor/Scenario: Localizer test with single global block ....................................... Passed 0.19 sec
Start 56: testvisitor/Scenario: Localizer test with use of verbatim block
56/111 Test #56: testvisitor/Scenario: Localizer test with use of verbatim block ..................................... Passed 0.18 sec
Start 57: testvisitor/Scenario: Localizer test with multiple global blocks
57/111 Test #57: testvisitor/Scenario: Localizer test with multiple global blocks .................................... Passed 0.24 sec
Start 58: testvisitor/Scenario: LocalVarRenameVisitor works with InlineVisitor
58/111 Test #58: testvisitor/Scenario: LocalVarRenameVisitor works with InlineVisitor ................................ Passed 0.25 sec
Start 59: testvisitor/Scenario: LOCAL to ASSIGNED variable transformer
59/111 Test #59: testvisitor/Scenario: LOCAL to ASSIGNED variable transformer ........................................ Passed 0.23 sec
Start 60: testvisitor/Scenario: Searching for ast nodes using AstLookupVisitor
60/111 Test #60: testvisitor/Scenario: Searching for ast nodes using AstLookupVisitor ................................ Passed 0.22 sec
Start 61: testvisitor/Scenario: Perform loop unrolling of FROM construct
61/111 Test #61: testvisitor/Scenario: Perform loop unrolling of FROM construct ...................................... Passed 0.22 sec
Start 62: testvisitor/Scenario: Running visitor passes multiple times
62/111 Test #62: testvisitor/Scenario: Running visitor passes multiple times ......................................... Passed 0.25 sec
Start 63: testvisitor/Scenario: Sympy specific AST to NMODL conversion
63/111 Test #63: testvisitor/Scenario: Sympy specific AST to NMODL conversion ........................................ Passed 0.24 sec
Start 64: testvisitor/Scenario: NeuronSolveVisitor visitor solves different ODE types
64/111 Test #64: testvisitor/Scenario: NeuronSolveVisitor visitor solves different ODE types ......................... Passed 0.25 sec
Start 65: testvisitor/Scenario: Convert AST back to NMODL form
65/111 Test #65: testvisitor/Scenario: Convert AST back to NMODL form ................................................ Passed 0.36 sec
Start 66: testvisitor/Scenario: Symbol table generation with Perf stat visitor
66/111 Test #66: testvisitor/Scenario: Symbol table generation with Perf stat visitor ................................ Passed 0.28 sec
Start 67: testvisitor/Scenario: Renaming any variable in mod file with RenameVisitor
67/111 Test #67: testvisitor/Scenario: Renaming any variable in mod file with RenameVisitor .......................... Passed 0.29 sec
Start 68: testvisitor/Scenario: Renaming with presence of local and global variables in same block
68/111 Test #68: testvisitor/Scenario: Renaming with presence of local and global variables in same block ............ Passed 0.30 sec
Start 69: testvisitor/Scenario: Renaming in the absence of global blocks
69/111 Test #69: testvisitor/Scenario: Renaming in the absence of global blocks ...................................... Passed 0.32 sec
Start 70: testvisitor/Scenario: Variable renaming in nested blocks
70/111 Test #70: testvisitor/Scenario: Variable renaming in nested blocks ............................................ Passed 0.31 sec
Start 71: testvisitor/Scenario: Renaming in presence of local variable in verbatim block
71/111 Test #71: testvisitor/Scenario: Renaming in presence of local variable in verbatim block ...................... Passed 0.32 sec
Start 72: testvisitor/Scenario: TABLE stmt
72/111 Test #72: testvisitor/Scenario: TABLE stmt .................................................................... Passed 0.29 sec
Start 73: testvisitor/Scenario: Destructor block
73/111 Test #73: testvisitor/Scenario: Destructor block .............................................................. Passed 0.28 sec
Start 74: testvisitor/Scenario: Ion variable in CONSTANT block
74/111 Test #74: testvisitor/Scenario: Ion variable in CONSTANT block ................................................ Passed 0.30 sec
Start 75: testvisitor/Scenario: INDEPENDENT block
75/111 Test #75: testvisitor/Scenario: INDEPENDENT block ............................................................. Passed 0.28 sec
Start 76: testvisitor/Scenario: FUNCTION_TABLE block
76/111 Test #76: testvisitor/Scenario: FUNCTION_TABLE block .......................................................... Passed 0.26 sec
Start 77: testvisitor/Scenario: At most one DERIVATIVE block
77/111 Test #77: testvisitor/Scenario: At most one DERIVATIVE block .................................................. Passed 0.29 sec
Start 78: testvisitor/Scenario: RANDOM Construct
78/111 Test #78: testvisitor/Scenario: RANDOM Construct .............................................................. Passed 0.25 sec
Start 79: testvisitor/Solve ODEs using legacy NeuronSolveVisitor
79/111 Test #79: testvisitor/Solve ODEs using legacy NeuronSolveVisitor .............................................. Passed 0.25 sec
Start 80: testvisitor/Scenario: Solving ODEs with STEADYSTATE solve method
80/111 Test #80: testvisitor/Scenario: Solving ODEs with STEADYSTATE solve method .................................... Passed 0.26 sec
Start 81: testvisitor/Scenario: Addition of CONDUCTANCE using SympyConductance visitor
81/111 Test #81: testvisitor/Scenario: Addition of CONDUCTANCE using SympyConductance visitor ........................ Passed 51.43 sec
Start 82: testvisitor/Scenario: Check compare_blocks in sympy unit tests
82/111 Test #82: testvisitor/Scenario: Check compare_blocks in sympy unit tests ...................................... Passed 3.38 sec
Start 83: testvisitor/Scenario: Check local vars name-clash prevention
83/111 Test #83: testvisitor/Scenario: Check local vars name-clash prevention ........................................ Passed 3.62 sec
Start 84: testvisitor/Scenario: Solve ODEs with cnexp or euler method using SympySolverVisitor
84/111 Test #84: testvisitor/Scenario: Solve ODEs with cnexp or euler method using SympySolverVisitor ................ Passed 10.46 sec
Start 85: testvisitor/Scenario: Solve ODEs with derivimplicit method using SympySolverVisitor
85/111 Test #85: testvisitor/Scenario: Solve ODEs with derivimplicit method using SympySolverVisitor ................. Passed 5.48 sec
Start 86: testvisitor/Scenario: LINEAR solve block (SympySolver Visitor)
86/111 Test #86: testvisitor/Scenario: LINEAR solve block (SympySolver Visitor) ...................................... Passed 4.87 sec
Start 87: testvisitor/Scenario: Solve NONLINEAR block using SympySolver Visitor
87/111 Test #87: testvisitor/Scenario: Solve NONLINEAR block using SympySolver Visitor ............................... Passed 3.75 sec
Start 88: testvisitor/Scenario: Solve KINETIC block using SympySolver Visitor
88/111 Test #88: testvisitor/Scenario: Solve KINETIC block using SympySolver Visitor ................................. Passed 3.90 sec
Start 89: testvisitor/Scenario: Code generation for EigenNewtonSolver
89/111 Test #89: testvisitor/Scenario: Code generation for EigenNewtonSolver ......................................... Passed 3.95 sec
Start 90: testvisitor/Scenario: Parse UNITS block of mod files using Units Visitor
90/111 Test #90: testvisitor/Scenario: Parse UNITS block of mod files using Units Visitor ............................ Passed 0.29 sec
Start 91: testvisitor/Scenario: Searching for variable name using VarUsageVisitor
91/111 Test #91: testvisitor/Scenario: Searching for variable name using VarUsageVisitor ............................. Passed 0.27 sec
Start 92: testvisitor/Parse VERBATIM block using Verbatim Visitor
92/111 Test #92: testvisitor/Parse VERBATIM block using Verbatim Visitor ............................................. Passed 0.28 sec
Start 93: testvisitor/Scenario: Get node name with index TestVisitor
93/111 Test #93: testvisitor/Scenario: Get node name with index TestVisitor .......................................... Passed 0.31 sec
Start 94: testprinter/JSON printer converting object to string form
94/111 Test #94: testprinter/JSON printer converting object to string form ........................................... Passed 0.11 sec
Start 95: testsymtab/Scenario: Symbol properties can be added and converted to string
95/111 Test #95: testsymtab/Scenario: Symbol properties can be added and converted to string ......................... Passed 0.11 sec
Start 96: testsymtab/Scenario: Multiple properties can be added to Symbol
96/111 Test #96: testsymtab/Scenario: Multiple properties can be added to Symbol ..................................... Passed 0.11 sec
Start 97: testsymtab/Scenario: Symbol table allows operations like insert, lookup
97/111 Test #97: testsymtab/Scenario: Symbol table allows operations like insert, lookup ............................. Passed 0.12 sec
Start 98: testsymtab/Scenario: Global symbol table (ModelSymbol) allows scope based operations
98/111 Test #98: testsymtab/Scenario: Global symbol table (ModelSymbol) allows scope based operations ................ Passed 0.11 sec
Start 99: testsymtab/Scenario: Symbol class allows manipulation
99/111 Test #99: testsymtab/Scenario: Symbol class allows manipulation ............................................... Passed 0.11 sec
Start 100: testnewton/Scenario: Non-linear system to solve with Newton Numerical Diff Solver
100/111 Test #100: testnewton/Scenario: Non-linear system to solve with Newton Numerical Diff Solver ................... Passed 0.11 sec
Start 101: testnewton/Scenario: Non-linear system to solve with Newton Solver
101/111 Test #101: testnewton/Scenario: Non-linear system to solve with Newton Solver .................................. Passed 0.08 sec
Start 102: testcrout/Scenario: Compare Crout solver with Eigen
102/111 Test #102: testcrout/Scenario: Compare Crout solver with Eigen ................................................. Passed 0.20 sec
Start 103: testunitlexer/Unit Lexer tests for valid tokens
103/111 Test #103: testunitlexer/Unit Lexer tests for valid tokens ..................................................... Passed 0.09 sec
Start 104: testunitparser/Scenario: Unit parser accepting valid units definition
104/111 Test #104: testunitparser/Scenario: Unit parser accepting valid units definition ............................... Passed 0.10 sec
Start 105: testunitparser/Scenario: Unit parser accepting dependent/nested units definition
105/111 Test #105: testunitparser/Scenario: Unit parser accepting dependent/nested units definition .................... Passed 0.10 sec
Start 106: Ode
106/111 Test #106: Ode ................................................................................................. Passed 4.26 sec
Start 107: Pybind
107/111 Test #107: Pybind .............................................................................................. Passed 4.05 sec
Start 108: cabpump.mod
108/111 Test #108: cabpump.mod ......................................................................................... Passed 0.28 sec
Start 109: ican.mod
109/111 Test #109: ican.mod ............................................................................................ Passed 0.27 sec
Start 110: test_functor.mod
110/111 Test #110: test_functor.mod .................................................................................... Passed 0.22 sec
Start 111: watch_test.mod
111/111 Test #111: watch_test.mod ...................................................................................... Passed 0.22 sec
100% tests passed, 0 tests failed out of 111
Total Test time (real) = 123.11 sec
$ cp -r Testing/ ${CI_PROJECT_DIR}/
$ module load unstable unit-test-translator
$ cmake2junit > ${CI_PROJECT_DIR}/ctest.xml
$ exit ${i_am_a_failure}
section_end:1712047425:step_script section_start:1712047425:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=56363 revision=58ba2b95 version=14.2.0
initial_environment.env: found 1 matching files and directories
Testing/: found 7 matching files and directories 
Uploading artifacts as "archive" to coordinator... ok id=1226025 responseStatus=201 Created token=64_f64CY
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=56411 revision=58ba2b95 version=14.2.0
ctest.xml: found 1 matching files and directories 
Uploading artifacts as "junit" to coordinator... ok id=1226025 responseStatus=201 Created token=64_f64CY
section_end:1712047427:upload_artifacts_on_success section_start:1712047427:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1712047428:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1712047252:resolve_secrets Resolving secrets
section_end:1712047252:resolve_secrets section_start:1712047252:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor3076006934, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nmodl
Pipeline ID 203008, build ref , job ID 1226026
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008, optional exclusive flag , optional cpus per task flag --cpus-per-task=1, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1226026_PROD_P30_CP1_C4
Job parameters: memory=30750M, cpus_per_task=1, duration=1:00:00, constraint=cpu ntasks=1 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 2107470
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=1 --cpus-per-task=1 --mem=30750M --job-name=GL_J1226026_PROD_P30_CP1_C4 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P203008 --ntasks=1 --jobid=2107470 --cpus-per-task=1 --mem=30750M
section_end:1712047253:prepare_executor section_start:1712047253:prepare_script Preparing environment
Using git from spack modules
Running on r1i7n20 via bbpv1.epfl.ch...
section_end:1712047258:prepare_script section_start:1712047258:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1712047259:get_sources section_start:1712047259:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nvhpc (1226024)...
Runtime platform  arch=amd64 os=linux pid=51790 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1226024 responseStatus=200 OK token=64_Pen2c
section_end:1712047260:download_artifacts section_start:1712047260:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ export CTEST_PARALLEL_LEVEL=${SLURM_TASKS_PER_NODE}
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ cd ${SPACK_BUILD_DIR}
$ export BOOST_TEST_COLOR_OUTPUT=no
$ i_am_a_failure=0
$ spack ${SPACK_EXTRA_FLAGS} build-env ${SPACK_FULL_SPEC} -- ctest --no-tests=error --output-on-failure -T Test || i_am_a_failure=1
Site: r1i7n20
Build name: Linux-nvc++
Create new tag: 20240402-0841 - Experimental
Test project /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P203008/J1226024/spack-build/spack-stage-nmodl-git.20147a8cee3040e1a29f60a68147286005757f72_develop-4nu6dbzmnkmvhaskyiwqmyhctqlcurus/spack-build-4nu6dbz
Start 1: testcodegen/Scenario: unusual / failing mod files
1/111 Test #1: testcodegen/Scenario: unusual / failing mod files ................................................... Passed 0.31 sec
Start 2: testcodegen/Scenario: Check global variable setup
2/111 Test #2: testcodegen/Scenario: Check global variable setup ................................................... Passed 0.31 sec
Start 3: testcodegen/Scenario: C codegen utility functions
3/111 Test #3: testcodegen/Scenario: C codegen utility functions ................................................... Passed 0.27 sec
Start 4: testcodegen/Scenario: Check instance variable definition order
4/111 Test #4: testcodegen/Scenario: Check instance variable definition order ...................................... Passed 0.30 sec
Start 5: testcodegen/Scenario: Check parameter constness with VERBATIM block
5/111 Test #5: testcodegen/Scenario: Check parameter constness with VERBATIM block ................................. Passed 0.32 sec
Start 6: testcodegen/Scenario: Check NEURON globals are added to the instance struct on demand
6/111 Test #6: testcodegen/Scenario: Check NEURON globals are added to the instance struct on demand ............... Passed 0.29 sec
Start 7: testcodegen/Scenario: Check code generation for TABLE statements
7/111 Test #7: testcodegen/Scenario: Check code generation for TABLE statements .................................... Passed 0.31 sec
Start 8: testcodegen/Scenario: Check that BEFORE/AFTER block are well generated
8/111 Test #8: testcodegen/Scenario: Check that BEFORE/AFTER block are well generated .............................. Passed 0.30 sec
Start 9: testcodegen/Scenario: Check CONSTANT variables are added to global variable structure
9/111 Test #9: testcodegen/Scenario: Check CONSTANT variables are added to global variable structure ............... Passed 0.24 sec
Start 10: testcodegen/Scenario: Check code generation for FUNCTION_TABLE block
10/111 Test #10: testcodegen/Scenario: Check code generation for FUNCTION_TABLE block ................................ Passed 0.23 sec
Start 11: testcodegen/Scenario: Check that loops are well generated
11/111 Test #11: testcodegen/Scenario: Check that loops are well generated ........................................... Passed 0.22 sec
Start 12: testcodegen/Scenario: Check that top verbatim blocks are well generated
12/111 Test #12: testcodegen/Scenario: Check that top verbatim blocks are well generated ............................. Passed 0.22 sec
Start 13: testcodegen/Scenario: Check that codegen generate event functions well
13/111 Test #13: testcodegen/Scenario: Check that codegen generate event functions well .............................. Passed 0.23 sec
Start 14: testcodegen/Scenario: Some tests on derivimplicit
14/111 Test #14: testcodegen/Scenario: Some tests on derivimplicit ................................................... Passed 0.21 sec
Start 15: testcodegen/Scenario: Some tests on euler solver
15/111 Test #15: testcodegen/Scenario: Some tests on euler solver .................................................... Passed 0.23 sec
Start 16: testcodegen/Scenario: Check codegen for MUTEX and PROTECT
16/111 Test #16: testcodegen/Scenario: Check codegen for MUTEX and PROTECT ........................................... Passed 0.22 sec
Start 17: testcodegen/Scenario: Array STATE variable
17/111 Test #17: testcodegen/Scenario: Array STATE variable .......................................................... Passed 0.21 sec
Start 18: testcodegen/Scenario: Check whether PROCEDURE and FUNCTION need setdata call
18/111 Test #18: testcodegen/Scenario: Check whether PROCEDURE and FUNCTION need setdata call ........................ Passed 0.24 sec
Start 19: testcodegen/Scenario: Adding a variable for a table inside a function
19/111 Test #19: testcodegen/Scenario: Adding a variable for a table inside a function ............................... Passed 0.24 sec
Start 20: testcodegen/Scenario: Uncompatible constructs should failed
20/111 Test #20: testcodegen/Scenario: Uncompatible constructs should failed ......................................... Passed 0.25 sec
Start 21: testmodtoken/NMODL Lexer returning valid ModToken object
21/111 Test #21: testmodtoken/NMODL Lexer returning valid ModToken object ............................................ Passed 0.08 sec
Start 22: testmodtoken/Addition of two ModToken objects
22/111 Test #22: testmodtoken/Addition of two ModToken objects ....................................................... Passed 0.09 sec
Start 23: testlexer/NMODL Lexer returning valid token types
23/111 Test #23: testlexer/NMODL Lexer returning valid token types ................................................... Passed 0.07 sec
Start 24: testparser/Scenario: NMODL can accept CR as return char for one line comment
24/111 Test #24: testparser/Scenario: NMODL can accept CR as return char for one line comment ........................ Passed 0.15 sec
Start 25: testparser/Scenario: NMODL can define macros using DEFINE keyword
25/111 Test #25: testparser/Scenario: NMODL can define macros using DEFINE keyword ................................... Passed 0.15 sec
Start 26: testparser/Scenario: Macros can be used anywhere in the mod file
26/111 Test #26: testparser/Scenario: Macros can be used anywhere in the mod file .................................... Passed 0.15 sec
Start 27: testparser/Scenario: NMODL parser accepts empty unit specification
27/111 Test #27: testparser/Scenario: NMODL parser accepts empty unit specification .................................. Passed 0.15 sec
Start 28: testparser/Scenario: NMODL parser running number of valid NMODL constructs
28/111 Test #28: testparser/Scenario: NMODL parser running number of valid NMODL constructs .......................... Passed 0.19 sec
Start 29: testparser/Scenario: NMODL parser running number of invalid NMODL constructs
29/111 Test #29: testparser/Scenario: NMODL parser running number of invalid NMODL constructs ........................ Passed 0.16 sec
Start 30: testparser/Scenario: Check that the parser doesn't crash when passing invalid INCLUDE constructs
30/111 Test #30: testparser/Scenario: Check that the parser doesn't crash when passing invalid INCLUDE constructs .... Passed 0.12 sec
Start 31: testparser/Scenario: NEURON block can add CURIE information
31/111 Test #31: testparser/Scenario: NEURON block can add CURIE information ......................................... Passed 0.15 sec
Start 32: testparser/Scenario: Check parents in valid NMODL constructs
32/111 Test #32: testparser/Scenario: Check parents in valid NMODL constructs ........................................ Passed 0.57 sec
Start 33: testparser/Scenario: Legacy differential equation solver
33/111 Test #33: testparser/Scenario: Legacy differential equation solver ............................................ Passed 0.17 sec
Start 34: testparser/Scenario: Check if a NEURON block is parsed with correct location info in its token
34/111 Test #34: testparser/Scenario: Check if a NEURON block is parsed with correct location info in its token ...... Passed 0.16 sec
Start 35: testvisitor/Scenario: AfterCVodeToCnexpVisitor changes after_cvode solver method to cnexp
35/111 Test #35: testvisitor/Scenario: AfterCVodeToCnexpVisitor changes after_cvode solver method to cnexp ........... Passed 0.22 sec
Start 36: testvisitor/Scenario: Perform constant folder on NMODL constructs
36/111 Test #36: testvisitor/Scenario: Perform constant folder on NMODL constructs ................................... Passed 0.22 sec
Start 37: testvisitor/Scenario: Perform DefUse analysis on NMODL constructs
37/111 Test #37: testvisitor/Scenario: Perform DefUse analysis on NMODL constructs ................................... Passed 0.21 sec
Start 38: testvisitor/Scenario: GLOBAL to RANGE variable transformer
38/111 Test #38: testvisitor/Scenario: GLOBAL to RANGE variable transformer .......................................... Passed 0.23 sec
Start 39: testvisitor/Scenario: Check insertion of implicit arguments
39/111 Test #39: testvisitor/Scenario: Check insertion of implicit arguments ......................................... Passed 0.23 sec
Start 40: testvisitor/Scenario: Inlining of external procedure calls
40/111 Test #40: testvisitor/Scenario: Inlining of external procedure calls .......................................... Passed 0.21 sec
Start 41: testvisitor/Scenario: Inlining of function call as argument in external function
41/111 Test #41: testvisitor/Scenario: Inlining of function call as argument in external function .................... Passed 0.22 sec
Start 42: testvisitor/Scenario: Inlining of simple, one level procedure call
42/111 Test #42: testvisitor/Scenario: Inlining of simple, one level procedure call .................................. Passed 0.21 sec
Start 43: testvisitor/Scenario: Inlining of nested procedure call
43/111 Test #43: testvisitor/Scenario: Inlining of nested procedure call ............................................. Passed 0.22 sec
Start 44: testvisitor/Scenario: Inline function call in procedure
44/111 Test #44: testvisitor/Scenario: Inline function call in procedure ............................................. Passed 0.22 sec
Start 45: testvisitor/Scenario: Inling function call within conditional statement
45/111 Test #45: testvisitor/Scenario: Inling function call within conditional statement ............................. Passed 0.21 sec
Start 46: testvisitor/Scenario: Inline multiple function calls in same statement
46/111 Test #46: testvisitor/Scenario: Inline multiple function calls in same statement .............................. Passed 0.21 sec
Start 47: testvisitor/Scenario: Inline nested function calls withing arguments
47/111 Test #47: testvisitor/Scenario: Inline nested function calls withing arguments ................................ Passed 0.21 sec
Start 48: testvisitor/Scenario: Inline function call in non-binary expression
48/111 Test #48: testvisitor/Scenario: Inline function call in non-binary expression ................................. Passed 0.21 sec
Start 49: testvisitor/Scenario: Inline function call as standalone expression
49/111 Test #49: testvisitor/Scenario: Inline function call as standalone expression ................................. Passed 0.20 sec
Start 50: testvisitor/Scenario: Inline procedure call as standalone statement as well as part of expression
50/111 Test #50: testvisitor/Scenario: Inline procedure call as standalone statement as well as part of expression ... Passed 0.13 sec
Start 51: testvisitor/Scenario: Inlining pass handles local-global name conflict
51/111 Test #51: testvisitor/Scenario: Inlining pass handles local-global name conflict .............................. Passed 0.13 sec
Start 52: testvisitor/Scenario: Trying to inline a function with VERBATIM block
52/111 Test #52: testvisitor/Scenario: Trying to inline a function with VERBATIM block ............................... Passed 0.20 sec
Start 53: testvisitor/Convert NMODL to AST to JSON form using JSONVisitor
53/111 Test #53: testvisitor/Convert NMODL to AST to JSON form using JSONVisitor ..................................... Passed 0.20 sec
Start 54: testvisitor/Scenario: Convert KINETIC to DERIVATIVE using KineticBlock visitor
54/111 Test #54: testvisitor/Scenario: Convert KINETIC to DERIVATIVE using KineticBlock visitor ...................... Passed 0.18 sec
Start 55: testvisitor/Scenario: Localizer test with single global block
55/111 Test #55: testvisitor/Scenario: Localizer test with single global block ....................................... Passed 0.19 sec
Start 56: testvisitor/Scenario: Localizer test with use of verbatim block
56/111 Test #56: testvisitor/Scenario: Localizer test with use of verbatim block ..................................... Passed 0.20 sec
Start 57: testvisitor/Scenario: Localizer test with multiple global blocks
57/111 Test #57: testvisitor/Scenario: Localizer test with multiple global blocks .................................... Passed 0.22 sec
Start 58: testvisitor/Scenario: LocalVarRenameVisitor works with InlineVisitor
58/111 Test #58: testvisitor/Scenario: LocalVarRenameVisitor works with InlineVisitor ................................ Passed 0.21 sec
Start 59: testvisitor/Scenario: LOCAL to ASSIGNED variable transformer
59/111 Test #59: testvisitor/Scenario: LOCAL to ASSIGNED variable transformer ........................................ Passed 0.20 sec
Start 60: testvisitor/Scenario: Searching for ast nodes using AstLookupVisitor
60/111 Test #60: testvisitor/Scenario: Searching for ast nodes using AstLookupVisitor ................................ Passed 0.20 sec
Start 61: testvisitor/Scenario: Perform loop unrolling of FROM construct
61/111 Test #61: testvisitor/Scenario: Perform loop unrolling of FROM construct ...................................... Passed 0.20 sec
Start 62: testvisitor/Scenario: Running visitor passes multiple times
62/111 Test #62: testvisitor/Scenario: Running visitor passes multiple times ......................................... Passed 0.23 sec
Start 63: testvisitor/Scenario: Sympy specific AST to NMODL conversion
63/111 Test #63: testvisitor/Scenario: Sympy specific AST to NMODL conversion ........................................ Passed 0.24 sec
Start 64: testvisitor/Scenario: NeuronSolveVisitor visitor solves different ODE types
64/111 Test #64: testvisitor/Scenario: NeuronSolveVisitor visitor solves different ODE types ......................... Passed 0.24 sec
Start 65: testvisitor/Scenario: Convert AST back to NMODL form
65/111 Test #65: testvisitor/Scenario: Convert AST back to NMODL form ................................................ Passed 0.35 sec
Start 66: testvisitor/Scenario: Symbol table generation with Perf stat visitor
66/111 Test #66: testvisitor/Scenario: Symbol table generation with Perf stat visitor ................................ Passed 0.26 sec
Start 67: testvisitor/Scenario: Renaming any variable in mod file with RenameVisitor
67/111 Test #67: testvisitor/Scenario: Renaming any variable in mod file with RenameVisitor .......................... Passed 0.27 sec
Start 68: testvisitor/Scenario: Renaming with presence of local and global variables in same block
68/111 Test #68: testvisitor/Scenario: Renaming with presence of local and global variables in same block ............ Passed 0.26 sec
Start 69: testvisitor/Scenario: Renaming in the absence of global blocks
69/111 Test #69: testvisitor/Scenario: Renaming in the absence of global blocks ...................................... Passed 0.28 sec
Start 70: testvisitor/Scenario: Variable renaming in nested blocks
70/111 Test #70: testvisitor/Scenario: Variable renaming in nested blocks ............................................ Passed 0.28 sec
Start 71: testvisitor/Scenario: Renaming in presence of local variable in verbatim block
71/111 Test #71: testvisitor/Scenario: Renaming in presence of local variable in verbatim block ...................... Passed 0.28 sec
Start 72: testvisitor/Scenario: TABLE stmt
72/111 Test #72: testvisitor/Scenario: TABLE stmt .................................................................... Passed 0.29 sec
Start 73: testvisitor/Scenario: Destructor block
73/111 Test #73: testvisitor/Scenario: Destructor block .............................................................. Passed 0.24 sec
Start 74: testvisitor/Scenario: Ion variable in CONSTANT block
74/111 Test #74: testvisitor/Scenario: Ion variable in CONSTANT block ................................................ Passed 0.27 sec
Start 75: testvisitor/Scenario: INDEPENDENT block
75/111 Test #75: testvisitor/Scenario: INDEPENDENT block ............................................................. Passed 0.25 sec
Start 76: testvisitor/Scenario: FUNCTION_TABLE block
76/111 Test #76: testvisitor/Scenario: FUNCTION_TABLE block .......................................................... Passed 0.26 sec
Start 77: testvisitor/Scenario: At most one DERIVATIVE block
77/111 Test #77: testvisitor/Scenario: At most one DERIVATIVE block .................................................. Passed 0.24 sec
Start 78: testvisitor/Scenario: RANDOM Construct
78/111 Test #78: testvisitor/Scenario: RANDOM Construct .............................................................. Passed 0.26 sec
Start 79: testvisitor/Solve ODEs using legacy NeuronSolveVisitor
79/111 Test #79: testvisitor/Solve ODEs using legacy NeuronSolveVisitor .............................................. Passed 0.25 sec
Start 80: testvisitor/Scenario: Solving ODEs with STEADYSTATE solve method
80/111 Test #80: testvisitor/Scenario: Solving ODEs with STEADYSTATE solve method .................................... Passed 0.21 sec
Start 81: testvisitor/Scenario: Addition of CONDUCTANCE using SympyConductance visitor
81/111 Test #81: testvisitor/Scenario: Addition of CONDUCTANCE using SympyConductance visitor ........................ Passed 51.53 sec
Start 82: testvisitor/Scenario: Check compare_blocks in sympy unit tests
82/111 Test #82: testvisitor/Scenario: Check compare_blocks in sympy unit tests ...................................... Passed 3.32 sec
Start 83: testvisitor/Scenario: Check local vars name-clash prevention
83/111 Test #83: testvisitor/Scenario: Check local vars name-clash prevention ........................................ Passed 3.48 sec
Start 84: testvisitor/Scenario: Solve ODEs with cnexp or euler method using SympySolverVisitor
84/111 Test #84: testvisitor/Scenario: Solve ODEs with cnexp or euler method using SympySolverVisitor ................ Passed 10.60 sec
Start 85: testvisitor/Scenario: Solve ODEs with derivimplicit method using SympySolverVisitor
85/111 Test #85: testvisitor/Scenario: Solve ODEs with derivimplicit method using SympySolverVisitor ................. Passed 5.43 sec
Start 86: testvisitor/Scenario: LINEAR solve block (SympySolver Visitor)
86/111 Test #86: testvisitor/Scenario: LINEAR solve block (SympySolver Visitor) ...................................... Passed 4.86 sec
Start 87: testvisitor/Scenario: Solve NONLINEAR block using SympySolver Visitor
87/111 Test #87: testvisitor/Scenario: Solve NONLINEAR block using SympySolver Visitor ............................... Passed 3.68 sec
Start 88: testvisitor/Scenario: Solve KINETIC block using SympySolver Visitor
88/111 Test #88: testvisitor/Scenario: Solve KINETIC block using SympySolver Visitor ................................. Passed 3.97 sec
Start 89: testvisitor/Scenario: Code generation for EigenNewtonSolver
89/111 Test #89: testvisitor/Scenario: Code generation for EigenNewtonSolver ......................................... Passed 3.87 sec
Start 90: testvisitor/Scenario: Parse UNITS block of mod files using Units Visitor
90/111 Test #90: testvisitor/Scenario: Parse UNITS block of mod files using Units Visitor ............................ Passed 0.26 sec
Start 91: testvisitor/Scenario: Searching for variable name using VarUsageVisitor
91/111 Test #91: testvisitor/Scenario: Searching for variable name using VarUsageVisitor ............................. Passed 0.26 sec
Start 92: testvisitor/Parse VERBATIM block using Verbatim Visitor
92/111 Test #92: testvisitor/Parse VERBATIM block using Verbatim Visitor ............................................. Passed 0.25 sec
Start 93: testvisitor/Scenario: Get node name with index TestVisitor
93/111 Test #93: testvisitor/Scenario: Get node name with index TestVisitor .......................................... Passed 0.26 sec
Start 94: testprinter/JSON printer converting object to string form
94/111 Test #94: testprinter/JSON printer converting object to string form ........................................... Passed 0.09 sec
Start 95: testsymtab/Scenario: Symbol properties can be added and converted to string
95/111 Test #95: testsymtab/Scenario: Symbol properties can be added and converted to string ......................... Passed 0.09 sec
Start 96: testsymtab/Scenario: Multiple properties can be added to Symbol
96/111 Test #96: testsymtab/Scenario: Multiple properties can be added to Symbol ..................................... Passed 0.09 sec
Start 97: testsymtab/Scenario: Symbol table allows operations like insert, lookup
97/111 Test #97: testsymtab/Scenario: Symbol table allows operations like insert, lookup ............................. Passed 0.10 sec
Start 98: testsymtab/Scenario: Global symbol table (ModelSymbol) allows scope based operations
98/111 Test #98: testsymtab/Scenario: Global symbol table (ModelSymbol) allows scope based operations ................ Passed 0.09 sec
Start 99: testsymtab/Scenario: Symbol class allows manipulation
99/111 Test #99: testsymtab/Scenario: Symbol class allows manipulation ............................................... Passed 0.09 sec
Start 100: testnewton/Scenario: Non-linear system to solve with Newton Numerical Diff Solver
100/111 Test #100: testnewton/Scenario: Non-linear system to solve with Newton Numerical Diff Solver ................... Passed 0.09 sec
Start 101: testnewton/Scenario: Non-linear system to solve with Newton Solver
101/111 Test #101: testnewton/Scenario: Non-linear system to solve with Newton Solver .................................. Passed 0.10 sec
Start 102: testcrout/Scenario: Compare Crout solver with Eigen
102/111 Test #102: testcrout/Scenario: Compare Crout solver with Eigen ................................................. Passed 0.35 sec
Start 103: testunitlexer/Unit Lexer tests for valid tokens
103/111 Test #103: testunitlexer/Unit Lexer tests for valid tokens ..................................................... Passed 0.09 sec
Start 104: testunitparser/Scenario: Unit parser accepting valid units definition
104/111 Test #104: testunitparser/Scenario: Unit parser accepting valid units definition ............................... Passed 0.11 sec
Start 105: testunitparser/Scenario: Unit parser accepting dependent/nested units definition
105/111 Test #105: testunitparser/Scenario: Unit parser accepting dependent/nested units definition .................... Passed 0.08 sec
Start 106: Ode
106/111 Test #106: Ode ................................................................................................. Passed 4.41 sec
Start 107: Pybind
107/111 Test #107: Pybind .............................................................................................. Passed 3.94 sec
Start 108: cabpump.mod
108/111 Test #108: cabpump.mod ......................................................................................... Passed 0.26 sec
Start 109: ican.mod
109/111 Test #109: ican.mod ............................................................................................ Passed 0.23 sec
Start 110: test_functor.mod
110/111 Test #110: test_functor.mod .................................................................................... Passed 0.24 sec
Start 111: watch_test.mod
111/111 Test #111: watch_test.mod ...................................................................................... Passed 0.31 sec
100% tests passed, 0 tests failed out of 111
Total Test time (real) = 120.92 sec
$ cp -r Testing/ ${CI_PROJECT_DIR}/
$ module load unstable unit-test-translator
$ cmake2junit > ${CI_PROJECT_DIR}/ctest.xml
$ exit ${i_am_a_failure}
section_end:1712047425:step_script section_start:1712047425:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=56279 revision=58ba2b95 version=14.2.0
initial_environment.env: found 1 matching files and directories
Testing/: found 7 matching files and directories 
Uploading artifacts as "archive" to coordinator... ok id=1226026 responseStatus=201 Created token=64_Pen2c
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=56364 revision=58ba2b95 version=14.2.0
ctest.xml: found 1 matching files and directories 
Uploading artifacts as "junit" to coordinator... ok id=1226026 responseStatus=201 Created token=64_Pen2c
section_end:1712047426:upload_artifacts_on_success section_start:1712047426:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1712047427:cleanup_file_variables Job succeeded
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment