Skip to content

Instantly share code, notes, and snippets.

@bbpbuildbot
Created June 13, 2024 07:39
Show Gist options
  • Save bbpbuildbot/b5ece334909949c7abf2595027b9aa39 to your computer and use it in GitHub Desktop.
Save bbpbuildbot/b5ece334909949c7abf2595027b9aa39 to your computer and use it in GitHub Desktop.
Logfiles for GitLab pipeline https://bbpgitlab.epfl.ch/hpc/nmodl/-/pipelines/216707 (:white_check_mark:) running on GitHub PR BlueBrain/nmodl#1318.
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1718261667:resolve_secrets Resolving secrets
section_end:1718261667:resolve_secrets section_start:1718261667:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor2298835859, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nmodl
Pipeline ID 216707, build ref , job ID 1317838
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707, optional exclusive flag , optional cpus per task flag --cpus-per-task=6, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1317838_PROD_P30_CP1_C1
Job parameters: memory=30750M, cpus_per_task=6, duration=1:00:00, constraint=cpu ntasks=1 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 2249507
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=1 --cpus-per-task=6 --mem=30750M --job-name=GL_J1317838_PROD_P30_CP1_C1 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707 --ntasks=1 --jobid=2249507 --cpus-per-task=6 --mem=30750M
section_end:1718261668:prepare_executor section_start:1718261668:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n30 via bbpv1.epfl.ch...
section_end:1718261672:prepare_script section_start:1718261672:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1718261673:get_sources section_start:1718261673:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:intel-2-non_protected...
Runtime platform  arch=amd64 os=linux pid=132097 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1718261679:restore_cache section_start:1718261679:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for spack_setup (1317837)...
Runtime platform  arch=amd64 os=linux pid=132488 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1317837 responseStatus=200 OK token=glcbt-64
section_end:1718261680:download_artifacts section_start:1718261680:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317838/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317838/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317838/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317838/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317838/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:19 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:38 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:81 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:94 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:100 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:105 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:113 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:119 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:124 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:129 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:134 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:142 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:151 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:156 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:185 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:192 db_lock_timeout: 60
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:200 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:205 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:210 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:216 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:221 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:227 install_status: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:231 binary_index_ttl: 600
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:233 flags:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:235 keep_werror: none
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:240 aliases:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:241 concretise: concretize
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:242 containerise: containerize
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:243 rm: remove
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317838/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/concretizer.yaml:23 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/concretizer.yaml:28 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/concretizer.yaml:32 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/concretizer.yaml:38 unify: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/concretizer.yaml:40 duplicates:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/concretizer.yaml:44 strategy: minimal
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707/J1317838_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install nmodl%oneapi +python+tests
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Cache directory: /nvme/bbpcihpcproj12/2249507/ccache
Config file: /nvme/bbpcihpcproj12/2249507/ccache/ccache.conf
System config file: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/ccache-4.7.4-eompz5/etc/ccache.conf
Stats updated: Thu Jun 13 08:54:45 2024
Local storage:
Cache size (GB): 0.45 / 0.51 (87.80%)
Files: 4369
Hits: 0
Misses: 0
Reads: 0
Writes: 0
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- nmodl%oneapi+python+tests
Concretized
--------------------------------
- m3kgsgl nmodl@git.b26cfd89df3250902e67365b6b0bf3c95ed95d31=develop%oneapi@2023.2.0~ipo~legacy-unit~llvm~llvm_cuda+python+tests build_system=cmake build_type=Release generator=ninja arch=linux-rhel7-skylake
[e] yn5fbwg ^bison@3.8.2%gcc@12.3.0 build_system=autotools arch=linux-rhel7-skylake
[^] pywly2v ^catch2@3.4.0%gcc@12.3.0~ipo+pic~shared build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
[^] swjylul ^gmake@4.4.1%gcc@12.3.0~guile build_system=generic arch=linux-rhel7-skylake
[^] ud3echq ^cli11@2.3.2%gcc@12.3.0~ipo build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
[e] b5zzpr3 ^cmake@3.27.7%gcc@12.3.0~doc+ncurses+ownlibs build_system=generic build_type=Release arch=linux-rhel7-skylake
[e] 77vzaxs ^flex@2.6.3%gcc@12.3.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] evtttrh ^fmt@10.1.1%gcc@12.3.0~ipo+pic~shared build_system=cmake build_type=Release cxxstd=11 generator=make arch=linux-rhel7-skylake
[e] omn6mm4 ^ninja@1.11.1%gcc@12.3.0+re2c build_system=generic arch=linux-rhel7-skylake
[^] teske2k ^nlohmann-json@3.9.1%gcc@12.3.0~ipo+multiple_headers build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
[^] 5dylnca ^py-find-libpython@0.3.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 77ku3vv ^py-pip@23.1.2%gcc@12.3.0 build_system=generic arch=linux-rhel7-skylake
[^] p3xbqxj ^py-setuptools@68.0.0%gcc@12.3.0 build_system=generic arch=linux-rhel7-skylake
[^] aj3rk42 ^py-wheel@0.41.2%gcc@12.3.0 build_system=generic arch=linux-rhel7-skylake
[^] 7validy ^py-jinja2@3.1.2%gcc@12.3.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] r3ehtmw ^py-markupsafe@2.1.3%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] wnagrhw ^py-numpy@1.26.1%gcc@12.3.0 build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[e] w2ldcy2 ^intel-oneapi-mkl@2023.2.0%gcc@12.3.0~cluster+envmods~ilp64+shared build_system=generic mpi_family=none threads=none arch=linux-rhel7-skylake
[^] e533uuv ^pkgconf@1.9.5%gcc@12.3.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3rx3xab ^py-cython@3.0.4%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3y7uuwr ^py-pyproject-metadata@0.7.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tabj2mo ^py-pybind11@2.11.1%gcc@12.3.0~ipo build_system=cmake build_type=Release generator=ninja arch=linux-rhel7-skylake
[^] lrf2u3h ^py-pytest@7.3.2%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] mvxx6gh ^py-iniconfig@2.0.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] cbpzath ^py-hatch-vcs@0.3.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 2o7gdvi ^py-hatchling@1.21.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6domhtg ^py-editables@0.3%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] edobf76 ^py-pathspec@0.11.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] a6ialdh ^py-trove-classifiers@2023.8.7%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jurd5ga ^py-calver@2022.6.26%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] uqml2to ^py-packaging@23.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] h6f6feu ^py-flit-core@3.9.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6su7kvx ^py-pluggy@1.0.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] sokbfzv ^py-setuptools-scm@8.0.4%gcc@12.3.0+toml build_system=python_pip arch=linux-rhel7-skylake
[e] 2sazdxk ^git@2.42.0%gcc@12.3.0+man+nls+perl+subtree~svn~tcltk build_system=autotools arch=linux-rhel7-skylake
[^] xwl5l6q ^py-tomli@2.0.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 275jtek ^py-typing-extensions@4.8.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] z5nf6n2 ^py-pytest-cov@4.0.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] wuxetkw ^py-coverage@7.2.6%gcc@12.3.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] 2inh3rt ^py-pyyaml@5.4.1%gcc@12.3.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] bzl4xcz ^libyaml@0.2.5%gcc@12.3.0 build_system=autotools arch=linux-rhel7-skylake
[^] t5tovex ^py-sympy@1.11.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4fflyib ^py-mpmath@1.2.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[e] 5wl76vp ^python@3.11.6%gcc@12.3.0+bz2+crypt+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tkinter+uuid+zlib build_system=generic patches=13fa8bf,b0615b2,ebdca64,f2fd060 arch=linux-rhel7-skylake
[^] ihotvbs ^spdlog@1.12.0%gcc@12.3.0+fmt_external~ipo+shared build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707, but it is owned by 0
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/bison-3.8.2-qcx3sg (external bison-3.8.2-yn5fbwgteilgqg6b62shkhkjg3hlwmrq)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/catch2-3.4.0-pywly2
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/cli11-2.3.2-ud3ech
==> cmake@3.27.7 : has external module in ['cmake/3.27.7']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/cmake-3.27.7-slyoes (external cmake-3.27.7-b5zzpr3mwm7jxv6bvvrs6zpeg62cfhbu)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/flex-2.6.3-edx4lf (external flex-2.6.3-77vzaxsa3g7wr3omktr4skpetx5ds4pt)
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/ninja-1.11.1-nxtlgo (external ninja-1.11.1-omn6mm4a3zsbpbkptvdwxs6kkrh6yafw)
==> python@3.11.6 : has external module in ['python/3.11.6']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/python-3.11.6-bj4i6m (external python-3.11.6-5wl76vphfvpcapfaipipf7xn2xylnc4o)
==> intel-oneapi-mkl@2023.2.0 : has external module in ['intel-oneapi-mkl/2023.2.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/intel-oneapi-mkl-2023.2.0-twl2n4 (external intel-oneapi-mkl-2023.2.0-w2ldcy2y2urm3uaa7omu3w7nhwsqt433)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/libyaml-0.2.5-bzl4xc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/fmt-10.1.1-evtttr
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/nlohmann-json-3.9.1-teske2
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-iniconfig-2.0.0-mvxx6g
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-packaging-23.1-uqml2t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-setuptools-68.0.0-p3xbqx
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-numpy-1.26.1-wnagrh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/spdlog-1.12.0-ihotvb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-markupsafe-2.1.3-r3ehtm
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pybind11-2.11.1-tabj2m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pluggy-1.0.0-6su7kv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pyyaml-5.4.1-2inh3r
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-mpmath-1.2.1-4fflyi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-find-libpython-0.3.1-5dylnc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-coverage-7.2.6-wuxetk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-jinja2-3.1.2-7valid
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pytest-7.3.2-lrf2u3
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-sympy-1.11.1-t5tove
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pytest-cov-4.0.0-z5nf6n
==> Installing nmodl-git.b26cfd89df3250902e67365b6b0bf3c95ed95d31=develop-m3kgsglww5gm2mfpembdjkwixoaudo5d [28/28]
==> No binary for nmodl-git.b26cfd89df3250902e67365b6b0bf3c95ed95d31=develop-m3kgsglww5gm2mfpembdjkwixoaudo5d found: installing from source
==> No patches needed for nmodl
==> nmodl: Executing phase: 'cmake'
==> nmodl: Executing phase: 'build'
==> nmodl: Executing phase: 'install'
==> nmodl: Successfully installed nmodl-git.b26cfd89df3250902e67365b6b0bf3c95ed95d31=develop-m3kgsglww5gm2mfpembdjkwixoaudo5d
Stage: 48.33s. Cmake: 3.47s. Build: 3m 10.28s. Install: 10.76s. Post-install: 4.69s. Total: 4m 20.08s
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/software/install_oneapi-2023.2.0-skylake/nmodl-git.b26cfd89df3250902e67365b6b0bf3c95ed95d31_develop-m3kgsg
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be m3kgsglww5gm2mfpembdjkwixoaudo5d
$ SPACK_STAGE_DIR=$(spack location -s "${SPACK_FULL_SPEC}")
$ SPACK_BUILD_DIR=$(spack location -b "${SPACK_FULL_SPEC}")
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Cache directory: /nvme/bbpcihpcproj12/2249507/ccache
Config file: /nvme/bbpcihpcproj12/2249507/ccache/ccache.conf
System config file: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/ccache-4.7.4-eompz5/etc/ccache.conf
Stats updated: Thu Jun 13 09:01:57 2024
Cacheable calls: 144 / 174 (82.76%)
Hits: 140 / 144 (97.22%)
Direct: 28 / 140 (20.00%)
Preprocessed: 112 / 140 (80.00%)
Misses: 4 / 144 ( 2.78%)
Uncacheable calls: 30 / 174 (17.24%)
Called for linking: 28 / 30 (93.33%)
No input file: 2 / 30 ( 6.67%)
Successful lookups:
Direct: 28 / 144 (19.44%)
Preprocessed: 112 / 116 (96.55%)
Local storage:
Cache size (GB): 0.45 / 0.51 (87.82%)
Files: 4375
Hits: 140 / 144 (97.22%)
Misses: 4 / 144 ( 2.78%)
Reads: 288
Writes: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1718262118:step_script section_start:1718262118:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:intel-2-non_protected...
Runtime platform  arch=amd64 os=linux pid=143015 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/30/build%3Aintel-2-non_protected
Created cache
section_end:1718262142:archive_cache section_start:1718262142:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=143180 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1317838 responseStatus=201 Created token=glcbt-64
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=143203 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=1317838 responseStatus=201 Created token=glcbt-64
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=143226 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1317838 responseStatus=201 Created token=glcbt-64
section_end:1718262144:upload_artifacts_on_success section_start:1718262144:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1718262144:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1718261668:resolve_secrets Resolving secrets
section_end:1718261668:resolve_secrets section_start:1718261668:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor3952855829, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nmodl
Pipeline ID 216707, build ref , job ID 1317839
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707, optional exclusive flag , optional cpus per task flag --cpus-per-task=6, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1317839_PROD_P30_CP3_C15
Job parameters: memory=30750M, cpus_per_task=6, duration=1:00:00, constraint=cpu ntasks=1 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 2249508
job state: PD
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=1 --cpus-per-task=6 --mem=30750M --job-name=GL_J1317839_PROD_P30_CP3_C15 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707 --ntasks=1 --jobid=2249508 --cpus-per-task=6 --mem=30750M
section_end:1718261672:prepare_executor section_start:1718261672:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n30 via bbpv1.epfl.ch...
section_end:1718261679:prepare_script section_start:1718261679:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1718261680:get_sources section_start:1718261680:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:nvhpc-2-non_protected...
Runtime platform  arch=amd64 os=linux pid=133123 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1718261686:restore_cache section_start:1718261686:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for spack_setup (1317837)...
Runtime platform  arch=amd64 os=linux pid=133543 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1317837 responseStatus=200 OK token=glcbt-64
section_end:1718261687:download_artifacts section_start:1718261687:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317839/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317839/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317839/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317839/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317839/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:19 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:38 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:81 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:94 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:100 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:105 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:113 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:119 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:124 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:129 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:134 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:142 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:151 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:156 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:185 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:192 db_lock_timeout: 60
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:200 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:205 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:210 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:216 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:221 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:227 install_status: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:231 binary_index_ttl: 600
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:233 flags:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:235 keep_werror: none
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:240 aliases:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:241 concretise: concretize
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:242 containerise: containerize
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/config.yaml:243 rm: remove
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317839/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/concretizer.yaml:23 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/concretizer.yaml:28 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/concretizer.yaml:32 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/concretizer.yaml:38 unify: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/concretizer.yaml:40 duplicates:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837/spack/etc/spack/defaults/concretizer.yaml:44 strategy: minimal
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707/J1317839_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install nmodl%nvhpc +python+tests ^bison%gcc^flex%gcc^py-jinja2%gcc^py-sympy%gcc^py-pyyaml%gcc
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Cache directory: /nvme/bbpcihpcproj12/2249508/ccache
Config file: /nvme/bbpcihpcproj12/2249508/ccache/ccache.conf
System config file: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/ccache-4.7.4-eompz5/etc/ccache.conf
Stats updated: Thu Jun 13 08:54:52 2024
Local storage:
Cache size (GB): 0.45 / 0.51 (87.63%)
Files: 3796
Hits: 0
Misses: 0
Reads: 0
Writes: 0
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- nmodl%nvhpc+python+tests
- ^bison%gcc
- ^flex%gcc
- ^py-jinja2%gcc
- ^py-pyyaml%gcc
- ^py-sympy%gcc
Concretized
--------------------------------
- av42nto nmodl@git.b26cfd89df3250902e67365b6b0bf3c95ed95d31=develop%nvhpc@23.1~ipo~legacy-unit~llvm~llvm_cuda+python+tests build_system=cmake build_type=Release generator=ninja arch=linux-rhel7-skylake
[e] yn5fbwg ^bison@3.8.2%gcc@12.3.0 build_system=autotools arch=linux-rhel7-skylake
[^] pywly2v ^catch2@3.4.0%gcc@12.3.0~ipo+pic~shared build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
[^] swjylul ^gmake@4.4.1%gcc@12.3.0~guile build_system=generic arch=linux-rhel7-skylake
[^] ud3echq ^cli11@2.3.2%gcc@12.3.0~ipo build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
[e] b5zzpr3 ^cmake@3.27.7%gcc@12.3.0~doc+ncurses+ownlibs build_system=generic build_type=Release arch=linux-rhel7-skylake
[e] 77vzaxs ^flex@2.6.3%gcc@12.3.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] evtttrh ^fmt@10.1.1%gcc@12.3.0~ipo+pic~shared build_system=cmake build_type=Release cxxstd=11 generator=make arch=linux-rhel7-skylake
[e] omn6mm4 ^ninja@1.11.1%gcc@12.3.0+re2c build_system=generic arch=linux-rhel7-skylake
[^] teske2k ^nlohmann-json@3.9.1%gcc@12.3.0~ipo+multiple_headers build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
[^] 5dylnca ^py-find-libpython@0.3.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 77ku3vv ^py-pip@23.1.2%gcc@12.3.0 build_system=generic arch=linux-rhel7-skylake
[^] p3xbqxj ^py-setuptools@68.0.0%gcc@12.3.0 build_system=generic arch=linux-rhel7-skylake
[^] aj3rk42 ^py-wheel@0.41.2%gcc@12.3.0 build_system=generic arch=linux-rhel7-skylake
[^] 7validy ^py-jinja2@3.1.2%gcc@12.3.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] r3ehtmw ^py-markupsafe@2.1.3%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] wnagrhw ^py-numpy@1.26.1%gcc@12.3.0 build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[e] w2ldcy2 ^intel-oneapi-mkl@2023.2.0%gcc@12.3.0~cluster+envmods~ilp64+shared build_system=generic mpi_family=none threads=none arch=linux-rhel7-skylake
[^] e533uuv ^pkgconf@1.9.5%gcc@12.3.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3rx3xab ^py-cython@3.0.4%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3y7uuwr ^py-pyproject-metadata@0.7.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] tabj2mo ^py-pybind11@2.11.1%gcc@12.3.0~ipo build_system=cmake build_type=Release generator=ninja arch=linux-rhel7-skylake
[^] lrf2u3h ^py-pytest@7.3.2%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] mvxx6gh ^py-iniconfig@2.0.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] cbpzath ^py-hatch-vcs@0.3.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 2o7gdvi ^py-hatchling@1.21.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6domhtg ^py-editables@0.3%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] edobf76 ^py-pathspec@0.11.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] a6ialdh ^py-trove-classifiers@2023.8.7%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] jurd5ga ^py-calver@2022.6.26%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] uqml2to ^py-packaging@23.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] h6f6feu ^py-flit-core@3.9.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6su7kvx ^py-pluggy@1.0.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] sokbfzv ^py-setuptools-scm@8.0.4%gcc@12.3.0+toml build_system=python_pip arch=linux-rhel7-skylake
[e] 2sazdxk ^git@2.42.0%gcc@12.3.0+man+nls+perl+subtree~svn~tcltk build_system=autotools arch=linux-rhel7-skylake
[^] xwl5l6q ^py-tomli@2.0.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 275jtek ^py-typing-extensions@4.8.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] z5nf6n2 ^py-pytest-cov@4.0.0%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] wuxetkw ^py-coverage@7.2.6%gcc@12.3.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] 2inh3rt ^py-pyyaml@5.4.1%gcc@12.3.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] bzl4xcz ^libyaml@0.2.5%gcc@12.3.0 build_system=autotools arch=linux-rhel7-skylake
[^] t5tovex ^py-sympy@1.11.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4fflyib ^py-mpmath@1.2.1%gcc@12.3.0 build_system=python_pip arch=linux-rhel7-skylake
[e] 5wl76vp ^python@3.11.6%gcc@12.3.0+bz2+crypt+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tkinter+uuid+zlib build_system=generic patches=13fa8bf,b0615b2,ebdca64,f2fd060 arch=linux-rhel7-skylake
[^] ihotvbs ^spdlog@1.12.0%gcc@12.3.0+fmt_external~ipo+shared build_system=cmake build_type=Release generator=make arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707, but it is owned by 0
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/bison-3.8.2-qcx3sg (external bison-3.8.2-yn5fbwgteilgqg6b62shkhkjg3hlwmrq)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/catch2-3.4.0-pywly2
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/cli11-2.3.2-ud3ech
==> cmake@3.27.7 : has external module in ['cmake/3.27.7']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/cmake-3.27.7-slyoes (external cmake-3.27.7-b5zzpr3mwm7jxv6bvvrs6zpeg62cfhbu)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/flex-2.6.3-edx4lf (external flex-2.6.3-77vzaxsa3g7wr3omktr4skpetx5ds4pt)
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/ninja-1.11.1-nxtlgo (external ninja-1.11.1-omn6mm4a3zsbpbkptvdwxs6kkrh6yafw)
==> python@3.11.6 : has external module in ['python/3.11.6']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/python-3.11.6-bj4i6m (external python-3.11.6-5wl76vphfvpcapfaipipf7xn2xylnc4o)
==> intel-oneapi-mkl@2023.2.0 : has external module in ['intel-oneapi-mkl/2023.2.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/intel-oneapi-mkl-2023.2.0-twl2n4 (external intel-oneapi-mkl-2023.2.0-w2ldcy2y2urm3uaa7omu3w7nhwsqt433)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/libyaml-0.2.5-bzl4xc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/nlohmann-json-3.9.1-teske2
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/fmt-10.1.1-evtttr
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pluggy-1.0.0-6su7kv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-setuptools-68.0.0-p3xbqx
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-packaging-23.1-uqml2t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-iniconfig-2.0.0-mvxx6g
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-numpy-1.26.1-wnagrh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/spdlog-1.12.0-ihotvb
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pyyaml-5.4.1-2inh3r
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pybind11-2.11.1-tabj2m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-markupsafe-2.1.3-r3ehtm
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-coverage-7.2.6-wuxetk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-mpmath-1.2.1-4fflyi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-find-libpython-0.3.1-5dylnc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pytest-7.3.2-lrf2u3
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-jinja2-3.1.2-7valid
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-sympy-1.11.1-t5tove
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_applications/install_gcc-12.3.0-skylake/py-pytest-cov-4.0.0-z5nf6n
==> Installing nmodl-git.b26cfd89df3250902e67365b6b0bf3c95ed95d31=develop-av42ntoid6l6afirgc4jy4ljpxicky4n [28/28]
==> No binary for nmodl-git.b26cfd89df3250902e67365b6b0bf3c95ed95d31=develop-av42ntoid6l6afirgc4jy4ljpxicky4n found: installing from source
==> No patches needed for nmodl
==> nmodl: Executing phase: 'cmake'
==> nmodl: Executing phase: 'build'
==> nmodl: Executing phase: 'install'
==> nmodl: Successfully installed nmodl-git.b26cfd89df3250902e67365b6b0bf3c95ed95d31=develop-av42ntoid6l6afirgc4jy4ljpxicky4n
Stage: 49.69s. Cmake: 3.21s. Build: 4m 14.25s. Install: 4.89s. Post-install: 2.01s. Total: 5m 15.04s
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/software/install_nvhpc-23.1-skylake/nmodl-git.b26cfd89df3250902e67365b6b0bf3c95ed95d31_develop-av42nt
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be av42ntoid6l6afirgc4jy4ljpxicky4n
$ SPACK_STAGE_DIR=$(spack location -s "${SPACK_FULL_SPEC}")
$ SPACK_BUILD_DIR=$(spack location -b "${SPACK_FULL_SPEC}")
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Cache directory: /nvme/bbpcihpcproj12/2249508/ccache
Config file: /nvme/bbpcihpcproj12/2249508/ccache/ccache.conf
System config file: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2024-02-01/stage_externals/install_gcc-12.3.0-skylake/ccache-4.7.4-eompz5/etc/ccache.conf
Stats updated: Thu Jun 13 09:02:39 2024
Cacheable calls: 142 / 165 (86.06%)
Hits: 138 / 142 (97.18%)
Direct: 26 / 138 (18.84%)
Preprocessed: 112 / 138 (81.16%)
Misses: 4 / 142 ( 2.82%)
Uncacheable calls: 23 / 165 (13.94%)
Called for linking: 23 / 23 (100.0%)
Successful lookups:
Direct: 26 / 142 (18.31%)
Preprocessed: 112 / 116 (96.55%)
Local storage:
Cache size (GB): 0.45 / 0.51 (87.67%)
Files: 3802
Hits: 138 / 142 (97.18%)
Misses: 4 / 142 ( 2.82%)
Reads: 284
Writes: 6
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1718262160:step_script section_start:1718262160:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:nvhpc-2-non_protected...
Runtime platform  arch=amd64 os=linux pid=143596 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/30/build%3Anvhpc-2-non_protected
Created cache
section_end:1718262183:archive_cache section_start:1718262183:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=143774 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1317839 responseStatus=201 Created token=glcbt-64
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=143794 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=1317839 responseStatus=201 Created token=glcbt-64
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=143818 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1317839 responseStatus=201 Created token=glcbt-64
section_end:1718262185:upload_artifacts_on_success section_start:1718262185:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1718262185:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1718261175:resolve_secrets Resolving secrets
section_end:1718261175:resolve_secrets section_start:1718261175:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor1248456913, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nmodl
Pipeline ID 216707, build ref , job ID 1317837
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707, optional exclusive flag , optional cpus per task flag --cpus-per-task=6, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1317837_PROD_P30_CP1_C1
Job parameters: memory=30750M, cpus_per_task=6, duration=1:00:00, constraint=cpu ntasks=1 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 2249495
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=1 --cpus-per-task=6 --mem=30750M --job-name=GL_J1317837_PROD_P30_CP1_C1 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707 --ntasks=1 --jobid=2249495 --cpus-per-task=6 --mem=30750M
section_end:1718261177:prepare_executor section_start:1718261177:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n18 via bbpv1.epfl.ch...
section_end:1718261182:prepare_script section_start:1718261182:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1718261183:get_sources section_start:1718261183:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ if [[ -n "${SPACK_ENV_FILE_URL}" && "${PARSE_GITHUB_PR_DESCRIPTIONS,,}" == "true" ]]; then
$ cat > parse_description.py << END_SCRIPT # collapsed multi-line command
$ cat parse_description.py
import os
import re
import requests
pr_info = requests.get("https://api.github.com/repos/{}/pulls/{}".format(
os.environ['CI_EXTERNAL_PULL_REQUEST_TARGET_REPOSITORY'],
os.environ['CI_EXTERNAL_PULL_REQUEST_IID']),
headers={'Accept': 'application/vnd.github.v3+json'})
pr_body = pr_info.json()["body"]
# match something like NEURON_BRANCH=foo/bar
# special case for SPACK_DEPLOYMENT_SUFFIX=foo/bar
pat = re.compile('^([A-Z0-9_]+)_([A-Z]+)=([A-Z0-9\-\_\/\+\.]+)$', re.IGNORECASE)
def parse_term(m):
ref_type = m.group(2).lower()
is_deployment_suffix = ref_type == 'suffix' and m.group(1).lower() == 'spack_deployment'
if ref_type not in {'branch', 'tag', 'ref'} and not is_deployment_suffix: return
print(m.group(1).upper() + '_' + ref_type.upper() + '=' + m.group(3))
if pr_body is not None:
for pr_body_line in pr_body.splitlines():
if not pr_body_line.startswith('CI_BRANCHES:'): continue
for config_term in pr_body_line[12:].split(','):
pat.sub(parse_term, config_term)
$ (module load unstable python-dev; python parse_description.py) > input_variables.env
$ else
$ cat input_variables.env
$ for var_to_unset in $(sed 's/^\(.*\?\)_\(BRANCH\|COMMIT\|TAG\)=.*$/\1_BRANCH\n\1_COMMIT\n\1_TAG/' input_variables.env); do # collapsed multi-line command
$ set -o allexport
$ . input_variables.env
$ set +o allexport
$ unset MODULEPATH
$ . /gpfs/bbp.cscs.ch/ssd/apps/bsd/${SPACK_DEPLOYMENT_SUFFIX}/config/modules.sh
$ echo "MODULEPATH=${MODULEPATH}" > spack_clone_variables.env
$ echo Preparing to clone Spack into ${PWD}
Preparing to clone Spack into /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317837
$ if [[ -z "${SPACK_BRANCH}" && ( -n "${SPACK_COMMIT}" || -n "${SPACK_TAG}" ) ]]; then
$ echo Checking out the ${SPACK_BRANCH} of Spack...
Checking out the develop of Spack...
$ module load unstable git
$ git clone -c feature.manyFiles=true --depth 1 --single-branch --branch ${SPACK_BRANCH} ${SPACK_URL} spack
Cloning into 'spack'...
Updating files: 1% (210/11305) Updating files: 2% (227/11305) Updating files: 3% (340/11305) Updating files: 3% (424/11305) Updating files: 4% (453/11305) Updating files: 5% (566/11305) Updating files: 5% (667/11305) Updating files: 6% (679/11305) Updating files: 7% (792/11305) Updating files: 8% (905/11305) Updating files: 8% (936/11305) Updating files: 9% (1018/11305) Updating files: 10% (1131/11305) Updating files: 10% (1156/11305) Updating files: 11% (1244/11305) Updating files: 11% (1295/11305) Updating files: 12% (1357/11305) Updating files: 13% (1470/11305) Updating files: 13% (1482/11305) Updating files: 14% (1583/11305) Updating files: 14% (1632/11305) Updating files: 15% (1696/11305) Updating files: 15% (1737/11305) Updating files: 16% (1809/11305) Updating files: 16% (1843/11305) Updating files: 17% (1922/11305) Updating files: 17% (1934/11305) Updating files: 18% (2035/11305) Updating files: 18% (2070/11305) Updating files: 19% (2148/11305) Updating files: 19% (2200/11305) Updating files: 20% (2261/11305) Updating files: 20% (2341/11305) Updating files: 21% (2375/11305) Updating files: 21% (2469/11305) Updating files: 22% (2488/11305) Updating files: 22% (2597/11305) Updating files: 23% (2601/11305) Updating files: 24% (2714/11305) Updating files: 24% (2715/11305) Updating files: 24% (2796/11305) Updating files: 25% (2827/11305) Updating files: 25% (2924/11305) Updating files: 26% (2940/11305) Updating files: 26% (3041/11305) Updating files: 27% (3053/11305) Updating files: 27% (3164/11305) Updating files: 28% (3166/11305) Updating files: 29% (3279/11305) Updating files: 29% (3295/11305) Updating files: 30% (3392/11305) Updating files: 30% (3435/11305) Updating files: 31% (3505/11305) Updating files: 31% (3560/11305) Updating files: 32% (3618/11305) Updating files: 32% (3682/11305) Updating files: 33% (3731/11305) Updating files: 33% (3799/11305) Updating files: 34% (3844/11305) Updating files: 34% (3919/11305) Updating files: 35% (3957/11305) Updating files: 35% (4036/11305) Updating files: 36% (4070/11305) Updating files: 36% (4145/11305) Updating files: 37% (4183/11305) Updating files: 37% (4245/11305) Updating files: 38% (4296/11305) Updating files: 38% (4350/11305) Updating files: 39% (4409/11305) Updating files: 39% (4462/11305) Updating files: 40% (4522/11305) Updating files: 40% (4556/11305) Updating files: 41% (4636/11305) Updating files: 41% (4642/11305) Updating files: 42% (4749/11305) Updating files: 42% (4765/11305) Updating files: 43% (4862/11305) Updating files: 43% (4885/11305) Updating files: 44% (4975/11305) Updating files: 44% (4993/11305) Updating files: 45% (5088/11305) Updating files: 45% (5108/11305) Updating files: 46% (5201/11305) Updating files: 46% (5228/11305) Updating files: 47% (5314/11305) Updating files: 47% (5333/11305) Updating files: 48% (5427/11305) Updating files: 48% (5454/11305) Updating files: 49% (5540/11305) Updating files: 49% (5567/11305) Updating files: 50% (5653/11305) Updating files: 50% (5704/11305) Updating files: 51% (5766/11305) Updating files: 51% (5782/11305) Updating files: 51% (5878/11305) Updating files: 52% (5879/11305) Updating files: 52% (5976/11305) Updating files: 53% (5992/11305) Updating files: 54% (6105/11305) Updating files: 54% (6108/11305) Updating files: 55% (6218/11305) Updating files: 55% (6222/11305) Updating files: 55% (6330/11305) Updating files: 56% (6331/11305) Updating files: 56% (6423/11305) Updating files: 57% (6444/11305) Updating files: 57% (6520/11305) Updating files: 58% (6557/11305) Updating files: 58% (6588/11305) Updating files: 59% (6670/11305) Updating files: 59% (6692/11305) Updating files: 60% (6783/11305) Updating files: 60% (6796/11305) Updating files: 61% (6897/11305) Updating files: 61% (6898/11305) Updating files: 61% (7003/11305) Updating files: 62% (7010/11305) Updating files: 62% (7117/11305) Updating files: 63% (7123/11305) Updating files: 63% (7220/11305) Updating files: 64% (7236/11305) Updating files: 64% (7328/11305) Updating files: 65% (7349/11305) Updating files: 65% (7435/11305) Updating files: 66% (7462/11305) Updating files: 66% (7549/11305) Updating files: 67% (7575/11305) Updating files: 67% (7648/11305) Updating files: 68% (7688/11305) Updating files: 68% (7750/11305) Updating files: 69% (7801/11305) Updating files: 69% (7866/11305) Updating files: 70% (7914/11305) Updating files: 70% (7968/11305) Updating files: 71% (8027/11305) Updating files: 71% (8078/11305) Updating files: 72% (8140/11305) Updating files: 72% (8182/11305) Updating files: 73% (8253/11305) Updating files: 73% (8293/11305) Updating files: 74% (8366/11305) Updating files: 74% (8398/11305) Updating files: 75% (8479/11305) Updating files: 75% (8527/11305) Updating files: 76% (8592/11305) Updating files: 76% (8639/11305) Updating files: 77% (8705/11305) Updating files: 77% (8778/11305) Updating files: 78% (8818/11305) Updating files: 78% (8883/11305) Updating files: 79% (8931/11305) Updating files: 79% (8991/11305) Updating files: 80% (9044/11305) Updating files: 80% (9103/11305) Updating files: 81% (9158/11305) Updating files: 81% (9208/11305) Updating files: 82% (9271/11305) Updating files: 82% (9308/11305) Updating files: 83% (9384/11305) Updating files: 83% (9423/11305) Updating files: 84% (9497/11305) Updating files: 84% (9512/11305) Updating files: 85% (9610/11305) Updating files: 85% (9617/11305) Updating files: 86% (9723/11305) Updating files: 86% (9729/11305) Updating files: 86% (9834/11305) Updating files: 87% (9836/11305) Updating files: 87% (9942/11305) Updating files: 88% (9949/11305) Updating files: 89% (10062/11305) Updating files: 89% (10066/11305) Updating files: 90% (10175/11305) Updating files: 90% (10202/11305) Updating files: 91% (10288/11305) Updating files: 91% (10330/11305) Updating files: 92% (10401/11305) Updating files: 92% (10446/11305) Updating files: 93% (10514/11305) Updating files: 93% (10569/11305) Updating files: 94% (10627/11305) Updating files: 94% (10700/11305) Updating files: 95% (10740/11305) Updating files: 95% (10829/11305) Updating files: 96% (10853/11305) Updating files: 96% (10961/11305) Updating files: 97% (10966/11305) Updating files: 98% (11079/11305) Updating files: 98% (11091/11305) Updating files: 99% (11192/11305) Updating files: 99% (11201/11305) Updating files: 100% (11305/11305) Updating files: 100% (11305/11305), done.
$ export SPACK_ROOT=${PWD}/spack
$ export SPACK_RESOLVED_COMMIT=$(cd "${SPACK_ROOT}" && git rev-parse HEAD)
$ export SPACK_USER_CACHE_PATH="${CI_BUILDS_DIR}"
$ export SPACK_SYSTEM_CONFIG_PATH="/gpfs/bbp.cscs.ch/ssd/apps/bsd/${SPACK_DEPLOYMENT_SUFFIX}/config"
$ echo "SPACK_ROOT=${SPACK_ROOT}" >> spack_clone_variables.env
$ echo "SPACK_RESOLVED_COMMIT=${SPACK_RESOLVED_COMMIT}" >> spack_clone_variables.env
$ echo "SPACK_USER_CACHE_PATH=${SPACK_USER_CACHE_PATH}" >> spack_clone_variables.env
$ echo "SPACK_SYSTEM_CONFIG_PATH=${SPACK_SYSTEM_CONFIG_PATH}" >> spack_clone_variables.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707/J1317837_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ env -0 | sed -nz '/^CUSTOM_ENV_/d;/^[^=]\+_\(BRANCH\|COMMIT\|TAG\)=.\+/p' | xargs -0t spack ${SPACK_EXTRA_FLAGS} configure-pipeline --ignore-packages CI_BUILD CI_COMMIT CI_DEFAULT GITLAB_PIPELINES SPACK SPACK_RESOLVED ${SPACK_SETUP_IGNORE_PACKAGE_VARIABLES} --write-commit-file=commit-mapping.env
spack configure-pipeline --ignore-packages CI_BUILD CI_COMMIT CI_DEFAULT GITLAB_PIPELINES SPACK SPACK_RESOLVED CVF BLUECONFIGS --write-commit-file=commit-mapping.env CI_COMMIT_BRANCH=jelic/fix_range_function_name_conflict NMODL_COMMIT=b26cfd89df3250902e67365b6b0bf3c95ed95d31 SPACK_RESOLVED_COMMIT=1c907696df52686ab4ad2aaa9719fa18dfd91645 NEURON_BRANCH=master LIBSONATA_REPORT_BRANCH=master SPACK_BRANCH=develop CI_DEFAULT_BRANCH=master CVF_BRANCH=main BLUECONFIGS_BRANCH=main
==> CI_COMMIT: ignoring CI_COMMIT_BRANCH=jelic/fix_range_function_name_conflict
==> SPACK_RESOLVED: ignoring SPACK_RESOLVED_COMMIT=1c907696df52686ab4ad2aaa9719fa18dfd91645
==> SPACK: ignoring SPACK_BRANCH=develop
==> CI_DEFAULT: ignoring CI_DEFAULT_BRANCH=master
==> CVF: ignoring CVF_BRANCH=main
==> BLUECONFIGS: ignoring BLUECONFIGS_BRANCH=main
==> neuron: resolved branch master to 8c39669b7b542315f8a3fb0077542456ea6a777e
==> libsonata-report: resolved branch master to 5602aadfa710d6766ce23cd151a1855190271bd8
==> adding config: packages:nmodl:require: '@git.b26cfd89df3250902e67365b6b0bf3c95ed95d31=develop'
==> adding config: packages:neuron:require: '@git.8c39669b7b542315f8a3fb0077542456ea6a777e=develop'
==> adding config: packages:libsonata-report:require: '@git.5602aadfa710d6766ce23cd151a1855190271bd8=develop'
$ (cd "${SPACK_ROOT}" && git diff)
$ cat commit-mapping.env
NMODL_COMMIT=b26cfd89df3250902e67365b6b0bf3c95ed95d31
NEURON_COMMIT=8c39669b7b542315f8a3fb0077542456ea6a777e
LIBSONATA_REPORT_COMMIT=5602aadfa710d6766ce23cd151a1855190271bd8
$ echo "SPACK_BRANCH=${SPACK_BRANCH}" >> commit-mapping.env
$ echo "SPACK_DEPLOYMENT_SUFFIX=${SPACK_DEPLOYMENT_SUFFIX}" >> commit-mapping.env
$ cat commit-mapping.env >> spack_clone_variables.env
$ spack ${SPACK_EXTRA_FLAGS} spec -IL ninja
==> Warning: Expected user 904556 to own /nvme/bbpcihpcproj12, but it is owned by 0
==> Fetching https://mirror.spack.io/bootstrap/github-actions/v0.5/build_cache/linux-centos7-x86_64-gcc-10.2.1-patchelf-0.18.0-afv7arjarb7nzmlh7c5slkfxykybuqce.spec.json
==> Fetching https://mirror.spack.io/bootstrap/github-actions/v0.5/build_cache/linux-centos7-x86_64/gcc-10.2.1/patchelf-0.18.0/linux-centos7-x86_64-gcc-10.2.1-patchelf-0.18.0-afv7arjarb7nzmlh7c5slkfxykybuqce.spack
==> Installing "patchelf@=0.18.0%gcc@=10.2.1 ldflags="-static-libstdc++ -static-libgcc" build_system=autotools arch=linux-centos7-x86_64" from a buildcache
==> Fetching https://mirror.spack.io/bootstrap/github-actions/v0.5/build_cache/linux-centos7-x86_64-gcc-10.2.1-clingo-bootstrap-spack-t4yf34cuvquqp5xd66zybmcfyhwbdlsf.spec.json
==> Fetching https://mirror.spack.io/bootstrap/github-actions/v0.5/build_cache/linux-centos7-x86_64/gcc-10.2.1/clingo-bootstrap-spack/linux-centos7-x86_64-gcc-10.2.1-clingo-bootstrap-spack-t4yf34cuvquqp5xd66zybmcfyhwbdlsf.spack
==> Installing "clingo-bootstrap@=spack%gcc@=10.2.1~docs+ipo+optimized+python+static_libstdcpp build_system=cmake build_type=Release generator=make patches=bebb819,ec99431 arch=linux-centos7-x86_64" from a buildcache
Input spec
--------------------------------
- ninja
Concretized
--------------------------------
[e] omn6mm4a3zsbpbkptvdwxs6kkrh6yafw ninja@1.11.1%gcc@12.3.0+re2c build_system=generic arch=linux-rhel7-skylake
$ echo "SPACK_SETUP_COMMIT_MAPPING_URL=${CI_API_V4_URL}/projects/${CI_PROJECT_ID}/jobs/${CI_JOB_ID}/artifacts/commit-mapping.env" >> spack_clone_variables.env
$ spack ${SPACK_EXTRA_FLAGS} config --scope site add "config:ccache:true"
$ echo "SPACK_USE_CCACHE=true" >> spack_clone_variables.env
$ echo "CVF_BRANCH=${CVF_BRANCH}" >> spack_clone_variables.env
$ echo "BLUECONFIGS_BRANCH=${BLUECONFIGS_BRANCH}" >> spack_clone_variables.env
section_end:1718261661:step_script section_start:1718261661:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=20593 revision=58ba2b95 version=14.2.0
commit-mapping.env: found 1 matching files and directories
input_variables.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1317837 responseStatus=201 Created token=glcbt-64
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=20668 revision=58ba2b95 version=14.2.0
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1317837 responseStatus=201 Created token=glcbt-64
section_end:1718261663:upload_artifacts_on_success section_start:1718261663:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1718261664:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1718262147:resolve_secrets Resolving secrets
section_end:1718262147:resolve_secrets section_start:1718262147:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor4091480722, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nmodl
Pipeline ID 216707, build ref , job ID 1317840
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707, optional exclusive flag , optional cpus per task flag --cpus-per-task=1, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1317840_PROD_P30_CP1_C1
Job parameters: memory=30750M, cpus_per_task=1, duration=1:00:00, constraint=cpu ntasks=1 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 2249514
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=1 --cpus-per-task=1 --mem=30750M --job-name=GL_J1317840_PROD_P30_CP1_C1 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707 --ntasks=1 --jobid=2249514 --cpus-per-task=1 --mem=30750M
section_end:1718262149:prepare_executor section_start:1718262149:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n18 via bbpv1.epfl.ch...
section_end:1718262154:prepare_script section_start:1718262154:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1718262155:get_sources section_start:1718262155:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:intel (1317838)...
Runtime platform  arch=amd64 os=linux pid=30974 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1317838 responseStatus=200 OK token=glcbt-64
section_end:1718262156:download_artifacts section_start:1718262156:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ export CTEST_PARALLEL_LEVEL=${SLURM_TASKS_PER_NODE}
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ cd ${SPACK_BUILD_DIR}
$ export BOOST_TEST_COLOR_OUTPUT=no
$ i_am_a_failure=0
$ spack ${SPACK_EXTRA_FLAGS} build-env ${SPACK_FULL_SPEC} -- ctest --no-tests=error --output-on-failure -T Test || i_am_a_failure=1
Site: r1i4n30
Build name: Linux-icpx
Create new tag: 20240613-0704 - Experimental
Test project /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317838/spack-build/spack-stage-nmodl-git.b26cfd89df3250902e67365b6b0bf3c95ed95d31_develop-m3kgsglww5gm2mfpembdjkwixoaudo5d/spack-build-m3kgsgl
Start 1: testutils/ends_with
1/115 Test #1: testutils/ends_with ................................................................................. Passed 0.55 sec
Start 2: testcodegen/Scenario: unusual / failing mod files
2/115 Test #2: testcodegen/Scenario: unusual / failing mod files ................................................... Passed 1.28 sec
Start 3: testcodegen/Scenario: Check global variable setup
3/115 Test #3: testcodegen/Scenario: Check global variable setup ................................................... Passed 1.37 sec
Start 4: testcodegen/Scenario: C codegen utility functions
4/115 Test #4: testcodegen/Scenario: C codegen utility functions ................................................... Passed 1.30 sec
Start 5: testcodegen/Scenario: Check instance variable definition order
5/115 Test #5: testcodegen/Scenario: Check instance variable definition order ...................................... Passed 1.34 sec
Start 6: testcodegen/Scenario: Check parameter constness with VERBATIM block
6/115 Test #6: testcodegen/Scenario: Check parameter constness with VERBATIM block ................................. Passed 1.37 sec
Start 7: testcodegen/Scenario: Check NEURON globals are added to the instance struct on demand
7/115 Test #7: testcodegen/Scenario: Check NEURON globals are added to the instance struct on demand ............... Passed 1.27 sec
Start 8: testcodegen/Scenario: Check code generation for TABLE statements
8/115 Test #8: testcodegen/Scenario: Check code generation for TABLE statements .................................... Passed 1.39 sec
Start 9: testcodegen/Scenario: Check that BEFORE/AFTER block are well generated
9/115 Test #9: testcodegen/Scenario: Check that BEFORE/AFTER block are well generated .............................. Passed 1.35 sec
Start 10: testcodegen/Scenario: Check CONSTANT variables are added to global variable structure
10/115 Test #10: testcodegen/Scenario: Check CONSTANT variables are added to global variable structure ............... Passed 1.35 sec
Start 11: testcodegen/Scenario: Check code generation for FUNCTION_TABLE block
11/115 Test #11: testcodegen/Scenario: Check code generation for FUNCTION_TABLE block ................................ Passed 1.32 sec
Start 12: testcodegen/Scenario: Check that loops are well generated
12/115 Test #12: testcodegen/Scenario: Check that loops are well generated ........................................... Passed 1.36 sec
Start 13: testcodegen/Scenario: Check that top verbatim blocks are well generated
13/115 Test #13: testcodegen/Scenario: Check that top verbatim blocks are well generated ............................. Passed 1.36 sec
Start 14: testcodegen/Scenario: Check that codegen generate event functions well
14/115 Test #14: testcodegen/Scenario: Check that codegen generate event functions well .............................. Passed 1.38 sec
Start 15: testcodegen/Scenario: Some tests on derivimplicit
15/115 Test #15: testcodegen/Scenario: Some tests on derivimplicit ................................................... Passed 1.43 sec
Start 16: testcodegen/Scenario: Some tests on euler solver
16/115 Test #16: testcodegen/Scenario: Some tests on euler solver .................................................... Passed 1.27 sec
Start 17: testcodegen/Scenario: Check codegen for MUTEX and PROTECT
17/115 Test #17: testcodegen/Scenario: Check codegen for MUTEX and PROTECT ........................................... Passed 1.33 sec
Start 18: testcodegen/Scenario: Array STATE variable
18/115 Test #18: testcodegen/Scenario: Array STATE variable .......................................................... Passed 1.31 sec
Start 19: testcodegen/Scenario: Check whether PROCEDURE and FUNCTION need setdata call
19/115 Test #19: testcodegen/Scenario: Check whether PROCEDURE and FUNCTION need setdata call ........................ Passed 1.38 sec
Start 20: testcodegen/Scenario: Adding a variable for a table inside a function
20/115 Test #20: testcodegen/Scenario: Adding a variable for a table inside a function ............................... Passed 1.32 sec
Start 21: testcodegen/Scenario: Uncompatible constructs should failed
21/115 Test #21: testcodegen/Scenario: Uncompatible constructs should failed ......................................... Passed 1.30 sec
Start 22: testmodtoken/NMODL Lexer returning valid ModToken object
22/115 Test #22: testmodtoken/NMODL Lexer returning valid ModToken object ............................................ Passed 0.54 sec
Start 23: testmodtoken/Addition of two ModToken objects
23/115 Test #23: testmodtoken/Addition of two ModToken objects ....................................................... Passed 0.51 sec
Start 24: testlexer/NMODL Lexer returning valid token types
24/115 Test #24: testlexer/NMODL Lexer returning valid token types ................................................... Passed 0.57 sec
Start 25: testparser/Scenario: NMODL can accept CR as return char for one line comment
25/115 Test #25: testparser/Scenario: NMODL can accept CR as return char for one line comment ........................ Passed 0.88 sec
Start 26: testparser/Scenario: NMODL can define macros using DEFINE keyword
26/115 Test #26: testparser/Scenario: NMODL can define macros using DEFINE keyword ................................... Passed 0.94 sec
Start 27: testparser/Scenario: Macros can be used anywhere in the mod file
27/115 Test #27: testparser/Scenario: Macros can be used anywhere in the mod file .................................... Passed 0.91 sec
Start 28: testparser/Scenario: NMODL parser accepts empty unit specification
28/115 Test #28: testparser/Scenario: NMODL parser accepts empty unit specification .................................. Passed 0.97 sec
Start 29: testparser/Scenario: NMODL parser running number of valid NMODL constructs
29/115 Test #29: testparser/Scenario: NMODL parser running number of valid NMODL constructs .......................... Passed 0.95 sec
Start 30: testparser/Scenario: NMODL parser running number of invalid NMODL constructs
30/115 Test #30: testparser/Scenario: NMODL parser running number of invalid NMODL constructs ........................ Passed 0.94 sec
Start 31: testparser/Scenario: Check that the parser doesn't crash when passing invalid INCLUDE constructs
31/115 Test #31: testparser/Scenario: Check that the parser doesn't crash when passing invalid INCLUDE constructs .... Passed 0.96 sec
Start 32: testparser/Scenario: NEURON block can add CURIE information
32/115 Test #32: testparser/Scenario: NEURON block can add CURIE information ......................................... Passed 0.93 sec
Start 33: testparser/Scenario: Check parents in valid NMODL constructs
33/115 Test #33: testparser/Scenario: Check parents in valid NMODL constructs ........................................ Passed 1.73 sec
Start 34: testparser/Scenario: Legacy differential equation solver
34/115 Test #34: testparser/Scenario: Legacy differential equation solver ............................................ Passed 0.88 sec
Start 35: testparser/Scenario: Check if a NEURON block is parsed with correct location info in its token
35/115 Test #35: testparser/Scenario: Check if a NEURON block is parsed with correct location info in its token ...... Passed 0.89 sec
Start 36: testvisitor/Scenario: AfterCVodeToCnexpVisitor changes after_cvode solver method to cnexp
36/115 Test #36: testvisitor/Scenario: AfterCVodeToCnexpVisitor changes after_cvode solver method to cnexp ........... Passed 1.34 sec
Start 37: testvisitor/Scenario: Perform constant folder on NMODL constructs
37/115 Test #37: testvisitor/Scenario: Perform constant folder on NMODL constructs ................................... Passed 1.33 sec
Start 38: testvisitor/Scenario: Perform DefUse analysis on NMODL constructs
38/115 Test #38: testvisitor/Scenario: Perform DefUse analysis on NMODL constructs ................................... Passed 1.42 sec
Start 39: testvisitor/Scenario: GLOBAL to RANGE variable transformer
39/115 Test #39: testvisitor/Scenario: GLOBAL to RANGE variable transformer .......................................... Passed 1.31 sec
Start 40: testvisitor/Scenario: Check insertion of implicit arguments
40/115 Test #40: testvisitor/Scenario: Check insertion of implicit arguments ......................................... Passed 1.30 sec
Start 41: testvisitor/Scenario: Inlining of external procedure calls
41/115 Test #41: testvisitor/Scenario: Inlining of external procedure calls .......................................... Passed 1.30 sec
Start 42: testvisitor/Scenario: Inlining of function call as argument in external function
42/115 Test #42: testvisitor/Scenario: Inlining of function call as argument in external function .................... Passed 1.34 sec
Start 43: testvisitor/Scenario: Inlining of simple, one level procedure call
43/115 Test #43: testvisitor/Scenario: Inlining of simple, one level procedure call .................................. Passed 1.30 sec
Start 44: testvisitor/Scenario: Inlining of nested procedure call
44/115 Test #44: testvisitor/Scenario: Inlining of nested procedure call ............................................. Passed 1.28 sec
Start 45: testvisitor/Scenario: Inline function call in procedure
45/115 Test #45: testvisitor/Scenario: Inline function call in procedure ............................................. Passed 1.30 sec
Start 46: testvisitor/Scenario: Inling function call within conditional statement
46/115 Test #46: testvisitor/Scenario: Inling function call within conditional statement ............................. Passed 1.39 sec
Start 47: testvisitor/Scenario: Inline multiple function calls in same statement
47/115 Test #47: testvisitor/Scenario: Inline multiple function calls in same statement .............................. Passed 1.29 sec
Start 48: testvisitor/Scenario: Inline nested function calls withing arguments
48/115 Test #48: testvisitor/Scenario: Inline nested function calls withing arguments ................................ Passed 1.35 sec
Start 49: testvisitor/Scenario: Inline function call in non-binary expression
49/115 Test #49: testvisitor/Scenario: Inline function call in non-binary expression ................................. Passed 1.50 sec
Start 50: testvisitor/Scenario: Inline function call as standalone expression
50/115 Test #50: testvisitor/Scenario: Inline function call as standalone expression ................................. Passed 1.32 sec
Start 51: testvisitor/Scenario: Inline procedure call as standalone statement as well as part of expression
51/115 Test #51: testvisitor/Scenario: Inline procedure call as standalone statement as well as part of expression ... Passed 1.33 sec
Start 52: testvisitor/Scenario: Inlining pass handles local-global name conflict
52/115 Test #52: testvisitor/Scenario: Inlining pass handles local-global name conflict .............................. Passed 1.34 sec
Start 53: testvisitor/Scenario: Trying to inline a function with VERBATIM block
53/115 Test #53: testvisitor/Scenario: Trying to inline a function with VERBATIM block ............................... Passed 1.51 sec
Start 54: testvisitor/Convert NMODL to AST to JSON form using JSONVisitor
54/115 Test #54: testvisitor/Convert NMODL to AST to JSON form using JSONVisitor ..................................... Passed 1.37 sec
Start 55: testvisitor/Scenario: Convert KINETIC to DERIVATIVE using KineticBlock visitor
55/115 Test #55: testvisitor/Scenario: Convert KINETIC to DERIVATIVE using KineticBlock visitor ...................... Passed 1.13 sec
Start 56: testvisitor/Scenario: Localizer test with single global block
56/115 Test #56: testvisitor/Scenario: Localizer test with single global block ....................................... Passed 1.26 sec
Start 57: testvisitor/Scenario: Localizer test with use of verbatim block
57/115 Test #57: testvisitor/Scenario: Localizer test with use of verbatim block ..................................... Passed 1.35 sec
Start 58: testvisitor/Scenario: Localizer test with multiple global blocks
58/115 Test #58: testvisitor/Scenario: Localizer test with multiple global blocks .................................... Passed 1.57 sec
Start 59: testvisitor/Scenario: LocalVarRenameVisitor works with InlineVisitor
59/115 Test #59: testvisitor/Scenario: LocalVarRenameVisitor works with InlineVisitor ................................ Passed 1.28 sec
Start 60: testvisitor/Scenario: LOCAL to ASSIGNED variable transformer
60/115 Test #60: testvisitor/Scenario: LOCAL to ASSIGNED variable transformer ........................................ Passed 1.34 sec
Start 61: testvisitor/Scenario: Searching for ast nodes using AstLookupVisitor
61/115 Test #61: testvisitor/Scenario: Searching for ast nodes using AstLookupVisitor ................................ Passed 1.32 sec
Start 62: testvisitor/Scenario: Perform loop unrolling of FROM construct
62/115 Test #62: testvisitor/Scenario: Perform loop unrolling of FROM construct ...................................... Passed 1.26 sec
Start 63: testvisitor/Scenario: Running visitor passes multiple times
63/115 Test #63: testvisitor/Scenario: Running visitor passes multiple times ......................................... Passed 1.35 sec
Start 64: testvisitor/Scenario: Sympy specific AST to NMODL conversion
64/115 Test #64: testvisitor/Scenario: Sympy specific AST to NMODL conversion ........................................ Passed 1.30 sec
Start 65: testvisitor/Scenario: NeuronSolveVisitor visitor solves different ODE types
65/115 Test #65: testvisitor/Scenario: NeuronSolveVisitor visitor solves different ODE types ......................... Passed 1.38 sec
Start 66: testvisitor/Scenario: Convert AST back to NMODL form
66/115 Test #66: testvisitor/Scenario: Convert AST back to NMODL form ................................................ Passed 1.39 sec
Start 67: testvisitor/Scenario: Symbol table generation with Perf stat visitor
67/115 Test #67: testvisitor/Scenario: Symbol table generation with Perf stat visitor ................................ Passed 1.30 sec
Start 68: testvisitor/Scenario: Renaming any variable in mod file with RenameVisitor
68/115 Test #68: testvisitor/Scenario: Renaming any variable in mod file with RenameVisitor .......................... Passed 1.25 sec
Start 69: testvisitor/Scenario: Renaming with presence of local and global variables in same block
69/115 Test #69: testvisitor/Scenario: Renaming with presence of local and global variables in same block ............ Passed 1.27 sec
Start 70: testvisitor/Scenario: Renaming in the absence of global blocks
70/115 Test #70: testvisitor/Scenario: Renaming in the absence of global blocks ...................................... Passed 1.27 sec
Start 71: testvisitor/Scenario: Variable renaming in nested blocks
71/115 Test #71: testvisitor/Scenario: Variable renaming in nested blocks ............................................ Passed 1.28 sec
Start 72: testvisitor/Scenario: Renaming in presence of local variable in verbatim block
72/115 Test #72: testvisitor/Scenario: Renaming in presence of local variable in verbatim block ...................... Passed 1.27 sec
Start 73: testvisitor/Scenario: TABLE stmt
73/115 Test #73: testvisitor/Scenario: TABLE stmt .................................................................... Passed 1.31 sec
Start 74: testvisitor/Scenario: Destructor block
74/115 Test #74: testvisitor/Scenario: Destructor block .............................................................. Passed 1.67 sec
Start 75: testvisitor/Scenario: Ion variable in CONSTANT block
75/115 Test #75: testvisitor/Scenario: Ion variable in CONSTANT block ................................................ Passed 1.52 sec
Start 76: testvisitor/Scenario: INDEPENDENT block
76/115 Test #76: testvisitor/Scenario: INDEPENDENT block ............................................................. Passed 1.35 sec
Start 77: testvisitor/Scenario: FUNCTION_TABLE block
77/115 Test #77: testvisitor/Scenario: FUNCTION_TABLE block .......................................................... Passed 1.45 sec
Start 78: testvisitor/Scenario: At most one DERIVATIVE block
78/115 Test #78: testvisitor/Scenario: At most one DERIVATIVE block .................................................. Passed 1.31 sec
Start 79: testvisitor/Scenario: RANDOM Construct
79/115 Test #79: testvisitor/Scenario: RANDOM Construct .............................................................. Passed 1.32 sec
Start 80: testvisitor/Scenario: RANGE and FUNCTION/PROCEDURE block
80/115 Test #80: testvisitor/Scenario: RANGE and FUNCTION/PROCEDURE block ............................................ Passed 1.32 sec
Start 81: testvisitor/Solve ODEs using legacy NeuronSolveVisitor
81/115 Test #81: testvisitor/Solve ODEs using legacy NeuronSolveVisitor .............................................. Passed 1.46 sec
Start 82: testvisitor/Scenario: Solving ODEs with STEADYSTATE solve method
82/115 Test #82: testvisitor/Scenario: Solving ODEs with STEADYSTATE solve method .................................... Passed 1.32 sec
Start 83: testvisitor/Scenario: Addition of CONDUCTANCE using SympyConductance visitor
83/115 Test #83: testvisitor/Scenario: Addition of CONDUCTANCE using SympyConductance visitor ........................ Passed 62.74 sec
Start 84: testvisitor/Scenario: Check compare_blocks in sympy unit tests
84/115 Test #84: testvisitor/Scenario: Check compare_blocks in sympy unit tests ...................................... Passed 15.02 sec
Start 85: testvisitor/Scenario: Check local vars name-clash prevention
85/115 Test #85: testvisitor/Scenario: Check local vars name-clash prevention ........................................ Passed 14.70 sec
Start 86: testvisitor/Scenario: Solve ODEs with cnexp or euler method using SympySolverVisitor
86/115 Test #86: testvisitor/Scenario: Solve ODEs with cnexp or euler method using SympySolverVisitor ................ Passed 21.88 sec
Start 87: testvisitor/Scenario: Solve ODEs with derivimplicit method using SympySolverVisitor
87/115 Test #87: testvisitor/Scenario: Solve ODEs with derivimplicit method using SympySolverVisitor ................. Passed 14.64 sec
Start 88: testvisitor/Scenario: LINEAR solve block (SympySolver Visitor)
88/115 Test #88: testvisitor/Scenario: LINEAR solve block (SympySolver Visitor) ...................................... Passed 15.69 sec
Start 89: testvisitor/Scenario: Solve NONLINEAR block using SympySolver Visitor
89/115 Test #89: testvisitor/Scenario: Solve NONLINEAR block using SympySolver Visitor ............................... Passed 14.43 sec
Start 90: testvisitor/Scenario: Solve KINETIC block using SympySolver Visitor
90/115 Test #90: testvisitor/Scenario: Solve KINETIC block using SympySolver Visitor ................................. Passed 15.42 sec
Start 91: testvisitor/Scenario: Code generation for EigenNewtonSolver
91/115 Test #91: testvisitor/Scenario: Code generation for EigenNewtonSolver ......................................... Passed 15.15 sec
Start 92: testvisitor/Scenario: Parse UNITS block of mod files using Units Visitor
92/115 Test #92: testvisitor/Scenario: Parse UNITS block of mod files using Units Visitor ............................ Passed 1.46 sec
Start 93: testvisitor/Scenario: Searching for variable name using VarUsageVisitor
93/115 Test #93: testvisitor/Scenario: Searching for variable name using VarUsageVisitor ............................. Passed 1.41 sec
Start 94: testvisitor/Parse VERBATIM block using Verbatim Visitor
94/115 Test #94: testvisitor/Parse VERBATIM block using Verbatim Visitor ............................................. Passed 1.36 sec
Start 95: testvisitor/Scenario: Get node name with index TestVisitor
95/115 Test #95: testvisitor/Scenario: Get node name with index TestVisitor .......................................... Passed 1.34 sec
Start 96: testprinter/JSON printer converting object to string form
96/115 Test #96: testprinter/JSON printer converting object to string form ........................................... Passed 0.52 sec
Start 97: testsymtab/Scenario: Symbol properties can be added and converted to string
97/115 Test #97: testsymtab/Scenario: Symbol properties can be added and converted to string ......................... Passed 0.55 sec
Start 98: testsymtab/Scenario: Multiple properties can be added to Symbol
98/115 Test #98: testsymtab/Scenario: Multiple properties can be added to Symbol ..................................... Passed 0.50 sec
Start 99: testsymtab/Scenario: Symbol table allows operations like insert, lookup
99/115 Test #99: testsymtab/Scenario: Symbol table allows operations like insert, lookup ............................. Passed 0.57 sec
Start 100: testsymtab/Scenario: Global symbol table (ModelSymbol) allows scope based operations
100/115 Test #100: testsymtab/Scenario: Global symbol table (ModelSymbol) allows scope based operations ................ Passed 0.49 sec
Start 101: testsymtab/Scenario: Symbol class allows manipulation
101/115 Test #101: testsymtab/Scenario: Symbol class allows manipulation ............................................... Passed 0.56 sec
Start 102: testnewton/Scenario: Non-linear system to solve with Newton Numerical Diff Solver
102/115 Test #102: testnewton/Scenario: Non-linear system to solve with Newton Numerical Diff Solver ................... Passed 0.49 sec
Start 103: testnewton/Scenario: Non-linear system to solve with Newton Solver
103/115 Test #103: testnewton/Scenario: Non-linear system to solve with Newton Solver .................................. Passed 0.50 sec
Start 104: testcrout/Scenario: Compare Crout solver with Eigen
104/115 Test #104: testcrout/Scenario: Compare Crout solver with Eigen ................................................. Passed 0.61 sec
Start 105: testunitlexer/Unit Lexer tests for valid tokens
105/115 Test #105: testunitlexer/Unit Lexer tests for valid tokens ..................................................... Passed 0.55 sec
Start 106: testunitparser/Scenario: Unit parser accepting valid units definition
106/115 Test #106: testunitparser/Scenario: Unit parser accepting valid units definition ............................... Passed 0.57 sec
Start 107: testunitparser/Scenario: Unit parser accepting dependent/nested units definition
107/115 Test #107: testunitparser/Scenario: Unit parser accepting dependent/nested units definition .................... Passed 0.57 sec
Start 108: Ode
108/115 Test #108: Ode ................................................................................................. Passed 22.38 sec
Start 109: Pybind
109/115 Test #109: Pybind .............................................................................................. Passed 21.51 sec
Start 110: cabpump.mod
110/115 Test #110: cabpump.mod ......................................................................................... Passed 0.99 sec
Start 111: glia_sparse.mod
111/115 Test #111: glia_sparse.mod ..................................................................................... Passed 14.56 sec
Start 112: ican.mod
112/115 Test #112: ican.mod ............................................................................................ Passed 0.96 sec
Start 113: test_functor.mod
113/115 Test #113: test_functor.mod .................................................................................... Passed 0.98 sec
Start 114: variable_names.mod
114/115 Test #114: variable_names.mod .................................................................................. Passed 16.19 sec
Start 115: watch_test.mod
115/115 Test #115: watch_test.mod ...................................................................................... Passed 0.95 sec
100% tests passed, 0 tests failed out of 115
Total Test time (real) = 385.25 sec
$ cp -r Testing/ ${CI_PROJECT_DIR}/
$ module load unstable unit-test-translator
$ cmake2junit > ${CI_PROJECT_DIR}/ctest.xml
$ exit ${i_am_a_failure}
section_end:1718262671:step_script section_start:1718262671:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=39040 revision=58ba2b95 version=14.2.0
initial_environment.env: found 1 matching files and directories
Testing/: found 7 matching files and directories 
Uploading artifacts as "archive" to coordinator... ok id=1317840 responseStatus=201 Created token=glcbt-64
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=39059 revision=58ba2b95 version=14.2.0
ctest.xml: found 1 matching files and directories 
Uploading artifacts as "junit" to coordinator... ok id=1317840 responseStatus=201 Created token=glcbt-64
section_end:1718262673:upload_artifacts_on_success section_start:1718262673:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1718262674:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1718262189:resolve_secrets Resolving secrets
section_end:1718262189:resolve_secrets section_start:1718262189:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor2696581949, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nmodl
Pipeline ID 216707, build ref , job ID 1317841
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707, optional exclusive flag , optional cpus per task flag --cpus-per-task=1, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1317841_PROD_P30_CP3_C10
Job parameters: memory=30750M, cpus_per_task=1, duration=1:00:00, constraint=cpu ntasks=1 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 2249516
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=1 --cpus-per-task=1 --mem=30750M --job-name=GL_J1317841_PROD_P30_CP3_C10 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P216707 --ntasks=1 --jobid=2249516 --cpus-per-task=1 --mem=30750M
section_end:1718262191:prepare_executor section_start:1718262191:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n18 via bbpv1.epfl.ch...
section_end:1718262196:prepare_script section_start:1718262196:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1718262197:get_sources section_start:1718262197:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nvhpc (1317839)...
Runtime platform  arch=amd64 os=linux pid=33010 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1317839 responseStatus=200 OK token=glcbt-64
section_end:1718262198:download_artifacts section_start:1718262198:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ export CTEST_PARALLEL_LEVEL=${SLURM_TASKS_PER_NODE}
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ cd ${SPACK_BUILD_DIR}
$ export BOOST_TEST_COLOR_OUTPUT=no
$ i_am_a_failure=0
$ spack ${SPACK_EXTRA_FLAGS} build-env ${SPACK_FULL_SPEC} -- ctest --no-tests=error --output-on-failure -T Test || i_am_a_failure=1
Site: r1i4n30
Build name: Linux-nvc++
Create new tag: 20240613-0705 - Experimental
Test project /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P216707/J1317839/spack-build/spack-stage-nmodl-git.b26cfd89df3250902e67365b6b0bf3c95ed95d31_develop-av42ntoid6l6afirgc4jy4ljpxicky4n/spack-build-av42nto
Start 1: testutils/ends_with
1/115 Test #1: testutils/ends_with ................................................................................. Passed 0.46 sec
Start 2: testcodegen/Scenario: unusual / failing mod files
2/115 Test #2: testcodegen/Scenario: unusual / failing mod files ................................................... Passed 1.20 sec
Start 3: testcodegen/Scenario: Check global variable setup
3/115 Test #3: testcodegen/Scenario: Check global variable setup ................................................... Passed 1.25 sec
Start 4: testcodegen/Scenario: C codegen utility functions
4/115 Test #4: testcodegen/Scenario: C codegen utility functions ................................................... Passed 1.21 sec
Start 5: testcodegen/Scenario: Check instance variable definition order
5/115 Test #5: testcodegen/Scenario: Check instance variable definition order ...................................... Passed 1.22 sec
Start 6: testcodegen/Scenario: Check parameter constness with VERBATIM block
6/115 Test #6: testcodegen/Scenario: Check parameter constness with VERBATIM block ................................. Passed 1.11 sec
Start 7: testcodegen/Scenario: Check NEURON globals are added to the instance struct on demand
7/115 Test #7: testcodegen/Scenario: Check NEURON globals are added to the instance struct on demand ............... Passed 1.18 sec
Start 8: testcodegen/Scenario: Check code generation for TABLE statements
8/115 Test #8: testcodegen/Scenario: Check code generation for TABLE statements .................................... Passed 1.14 sec
Start 9: testcodegen/Scenario: Check that BEFORE/AFTER block are well generated
9/115 Test #9: testcodegen/Scenario: Check that BEFORE/AFTER block are well generated .............................. Passed 1.14 sec
Start 10: testcodegen/Scenario: Check CONSTANT variables are added to global variable structure
10/115 Test #10: testcodegen/Scenario: Check CONSTANT variables are added to global variable structure ............... Passed 1.12 sec
Start 11: testcodegen/Scenario: Check code generation for FUNCTION_TABLE block
11/115 Test #11: testcodegen/Scenario: Check code generation for FUNCTION_TABLE block ................................ Passed 1.19 sec
Start 12: testcodegen/Scenario: Check that loops are well generated
12/115 Test #12: testcodegen/Scenario: Check that loops are well generated ........................................... Passed 1.19 sec
Start 13: testcodegen/Scenario: Check that top verbatim blocks are well generated
13/115 Test #13: testcodegen/Scenario: Check that top verbatim blocks are well generated ............................. Passed 1.12 sec
Start 14: testcodegen/Scenario: Check that codegen generate event functions well
14/115 Test #14: testcodegen/Scenario: Check that codegen generate event functions well .............................. Passed 1.19 sec
Start 15: testcodegen/Scenario: Some tests on derivimplicit
15/115 Test #15: testcodegen/Scenario: Some tests on derivimplicit ................................................... Passed 1.18 sec
Start 16: testcodegen/Scenario: Some tests on euler solver
16/115 Test #16: testcodegen/Scenario: Some tests on euler solver .................................................... Passed 1.25 sec
Start 17: testcodegen/Scenario: Check codegen for MUTEX and PROTECT
17/115 Test #17: testcodegen/Scenario: Check codegen for MUTEX and PROTECT ........................................... Passed 1.22 sec
Start 18: testcodegen/Scenario: Array STATE variable
18/115 Test #18: testcodegen/Scenario: Array STATE variable .......................................................... Passed 1.17 sec
Start 19: testcodegen/Scenario: Check whether PROCEDURE and FUNCTION need setdata call
19/115 Test #19: testcodegen/Scenario: Check whether PROCEDURE and FUNCTION need setdata call ........................ Passed 1.17 sec
Start 20: testcodegen/Scenario: Adding a variable for a table inside a function
20/115 Test #20: testcodegen/Scenario: Adding a variable for a table inside a function ............................... Passed 1.21 sec
Start 21: testcodegen/Scenario: Uncompatible constructs should failed
21/115 Test #21: testcodegen/Scenario: Uncompatible constructs should failed ......................................... Passed 1.17 sec
Start 22: testmodtoken/NMODL Lexer returning valid ModToken object
22/115 Test #22: testmodtoken/NMODL Lexer returning valid ModToken object ............................................ Passed 0.42 sec
Start 23: testmodtoken/Addition of two ModToken objects
23/115 Test #23: testmodtoken/Addition of two ModToken objects ....................................................... Passed 0.42 sec
Start 24: testlexer/NMODL Lexer returning valid token types
24/115 Test #24: testlexer/NMODL Lexer returning valid token types ................................................... Passed 0.43 sec
Start 25: testparser/Scenario: NMODL can accept CR as return char for one line comment
25/115 Test #25: testparser/Scenario: NMODL can accept CR as return char for one line comment ........................ Passed 0.78 sec
Start 26: testparser/Scenario: NMODL can define macros using DEFINE keyword
26/115 Test #26: testparser/Scenario: NMODL can define macros using DEFINE keyword ................................... Passed 0.78 sec
Start 27: testparser/Scenario: Macros can be used anywhere in the mod file
27/115 Test #27: testparser/Scenario: Macros can be used anywhere in the mod file .................................... Passed 0.77 sec
Start 28: testparser/Scenario: NMODL parser accepts empty unit specification
28/115 Test #28: testparser/Scenario: NMODL parser accepts empty unit specification .................................. Passed 0.76 sec
Start 29: testparser/Scenario: NMODL parser running number of valid NMODL constructs
29/115 Test #29: testparser/Scenario: NMODL parser running number of valid NMODL constructs .......................... Passed 0.81 sec
Start 30: testparser/Scenario: NMODL parser running number of invalid NMODL constructs
30/115 Test #30: testparser/Scenario: NMODL parser running number of invalid NMODL constructs ........................ Passed 0.73 sec
Start 31: testparser/Scenario: Check that the parser doesn't crash when passing invalid INCLUDE constructs
31/115 Test #31: testparser/Scenario: Check that the parser doesn't crash when passing invalid INCLUDE constructs .... Passed 0.81 sec
Start 32: testparser/Scenario: NEURON block can add CURIE information
32/115 Test #32: testparser/Scenario: NEURON block can add CURIE information ......................................... Passed 0.76 sec
Start 33: testparser/Scenario: Check parents in valid NMODL constructs
33/115 Test #33: testparser/Scenario: Check parents in valid NMODL constructs ........................................ Passed 1.64 sec
Start 34: testparser/Scenario: Legacy differential equation solver
34/115 Test #34: testparser/Scenario: Legacy differential equation solver ............................................ Passed 0.76 sec
Start 35: testparser/Scenario: Check if a NEURON block is parsed with correct location info in its token
35/115 Test #35: testparser/Scenario: Check if a NEURON block is parsed with correct location info in its token ...... Passed 0.73 sec
Start 36: testvisitor/Scenario: AfterCVodeToCnexpVisitor changes after_cvode solver method to cnexp
36/115 Test #36: testvisitor/Scenario: AfterCVodeToCnexpVisitor changes after_cvode solver method to cnexp ........... Passed 1.17 sec
Start 37: testvisitor/Scenario: Perform constant folder on NMODL constructs
37/115 Test #37: testvisitor/Scenario: Perform constant folder on NMODL constructs ................................... Passed 1.24 sec
Start 38: testvisitor/Scenario: Perform DefUse analysis on NMODL constructs
38/115 Test #38: testvisitor/Scenario: Perform DefUse analysis on NMODL constructs ................................... Passed 1.15 sec
Start 39: testvisitor/Scenario: GLOBAL to RANGE variable transformer
39/115 Test #39: testvisitor/Scenario: GLOBAL to RANGE variable transformer .......................................... Passed 1.22 sec
Start 40: testvisitor/Scenario: Check insertion of implicit arguments
40/115 Test #40: testvisitor/Scenario: Check insertion of implicit arguments ......................................... Passed 1.24 sec
Start 41: testvisitor/Scenario: Inlining of external procedure calls
41/115 Test #41: testvisitor/Scenario: Inlining of external procedure calls .......................................... Passed 1.21 sec
Start 42: testvisitor/Scenario: Inlining of function call as argument in external function
42/115 Test #42: testvisitor/Scenario: Inlining of function call as argument in external function .................... Passed 1.16 sec
Start 43: testvisitor/Scenario: Inlining of simple, one level procedure call
43/115 Test #43: testvisitor/Scenario: Inlining of simple, one level procedure call .................................. Passed 1.20 sec
Start 44: testvisitor/Scenario: Inlining of nested procedure call
44/115 Test #44: testvisitor/Scenario: Inlining of nested procedure call ............................................. Passed 1.27 sec
Start 45: testvisitor/Scenario: Inline function call in procedure
45/115 Test #45: testvisitor/Scenario: Inline function call in procedure ............................................. Passed 1.37 sec
Start 46: testvisitor/Scenario: Inling function call within conditional statement
46/115 Test #46: testvisitor/Scenario: Inling function call within conditional statement ............................. Passed 1.11 sec
Start 47: testvisitor/Scenario: Inline multiple function calls in same statement
47/115 Test #47: testvisitor/Scenario: Inline multiple function calls in same statement .............................. Passed 1.17 sec
Start 48: testvisitor/Scenario: Inline nested function calls withing arguments
48/115 Test #48: testvisitor/Scenario: Inline nested function calls withing arguments ................................ Passed 1.08 sec
Start 49: testvisitor/Scenario: Inline function call in non-binary expression
49/115 Test #49: testvisitor/Scenario: Inline function call in non-binary expression ................................. Passed 1.24 sec
Start 50: testvisitor/Scenario: Inline function call as standalone expression
50/115 Test #50: testvisitor/Scenario: Inline function call as standalone expression ................................. Passed 1.41 sec
Start 51: testvisitor/Scenario: Inline procedure call as standalone statement as well as part of expression
51/115 Test #51: testvisitor/Scenario: Inline procedure call as standalone statement as well as part of expression ... Passed 1.19 sec
Start 52: testvisitor/Scenario: Inlining pass handles local-global name conflict
52/115 Test #52: testvisitor/Scenario: Inlining pass handles local-global name conflict .............................. Passed 1.25 sec
Start 53: testvisitor/Scenario: Trying to inline a function with VERBATIM block
53/115 Test #53: testvisitor/Scenario: Trying to inline a function with VERBATIM block ............................... Passed 1.11 sec
Start 54: testvisitor/Convert NMODL to AST to JSON form using JSONVisitor
54/115 Test #54: testvisitor/Convert NMODL to AST to JSON form using JSONVisitor ..................................... Passed 1.07 sec
Start 55: testvisitor/Scenario: Convert KINETIC to DERIVATIVE using KineticBlock visitor
55/115 Test #55: testvisitor/Scenario: Convert KINETIC to DERIVATIVE using KineticBlock visitor ...................... Passed 1.15 sec
Start 56: testvisitor/Scenario: Localizer test with single global block
56/115 Test #56: testvisitor/Scenario: Localizer test with single global block ....................................... Passed 1.14 sec
Start 57: testvisitor/Scenario: Localizer test with use of verbatim block
57/115 Test #57: testvisitor/Scenario: Localizer test with use of verbatim block ..................................... Passed 1.24 sec
Start 58: testvisitor/Scenario: Localizer test with multiple global blocks
58/115 Test #58: testvisitor/Scenario: Localizer test with multiple global blocks .................................... Passed 1.15 sec
Start 59: testvisitor/Scenario: LocalVarRenameVisitor works with InlineVisitor
59/115 Test #59: testvisitor/Scenario: LocalVarRenameVisitor works with InlineVisitor ................................ Passed 1.14 sec
Start 60: testvisitor/Scenario: LOCAL to ASSIGNED variable transformer
60/115 Test #60: testvisitor/Scenario: LOCAL to ASSIGNED variable transformer ........................................ Passed 1.16 sec
Start 61: testvisitor/Scenario: Searching for ast nodes using AstLookupVisitor
61/115 Test #61: testvisitor/Scenario: Searching for ast nodes using AstLookupVisitor ................................ Passed 1.12 sec
Start 62: testvisitor/Scenario: Perform loop unrolling of FROM construct
62/115 Test #62: testvisitor/Scenario: Perform loop unrolling of FROM construct ...................................... Passed 1.18 sec
Start 63: testvisitor/Scenario: Running visitor passes multiple times
63/115 Test #63: testvisitor/Scenario: Running visitor passes multiple times ......................................... Passed 1.09 sec
Start 64: testvisitor/Scenario: Sympy specific AST to NMODL conversion
64/115 Test #64: testvisitor/Scenario: Sympy specific AST to NMODL conversion ........................................ Passed 1.11 sec
Start 65: testvisitor/Scenario: NeuronSolveVisitor visitor solves different ODE types
65/115 Test #65: testvisitor/Scenario: NeuronSolveVisitor visitor solves different ODE types ......................... Passed 1.20 sec
Start 66: testvisitor/Scenario: Convert AST back to NMODL form
66/115 Test #66: testvisitor/Scenario: Convert AST back to NMODL form ................................................ Passed 1.19 sec
Start 67: testvisitor/Scenario: Symbol table generation with Perf stat visitor
67/115 Test #67: testvisitor/Scenario: Symbol table generation with Perf stat visitor ................................ Passed 1.12 sec
Start 68: testvisitor/Scenario: Renaming any variable in mod file with RenameVisitor
68/115 Test #68: testvisitor/Scenario: Renaming any variable in mod file with RenameVisitor .......................... Passed 1.21 sec
Start 69: testvisitor/Scenario: Renaming with presence of local and global variables in same block
69/115 Test #69: testvisitor/Scenario: Renaming with presence of local and global variables in same block ............ Passed 1.25 sec
Start 70: testvisitor/Scenario: Renaming in the absence of global blocks
70/115 Test #70: testvisitor/Scenario: Renaming in the absence of global blocks ...................................... Passed 1.14 sec
Start 71: testvisitor/Scenario: Variable renaming in nested blocks
71/115 Test #71: testvisitor/Scenario: Variable renaming in nested blocks ............................................ Passed 1.18 sec
Start 72: testvisitor/Scenario: Renaming in presence of local variable in verbatim block
72/115 Test #72: testvisitor/Scenario: Renaming in presence of local variable in verbatim block ...................... Passed 1.23 sec
Start 73: testvisitor/Scenario: TABLE stmt
73/115 Test #73: testvisitor/Scenario: TABLE stmt .................................................................... Passed 1.22 sec
Start 74: testvisitor/Scenario: Destructor block
74/115 Test #74: testvisitor/Scenario: Destructor block .............................................................. Passed 1.17 sec
Start 75: testvisitor/Scenario: Ion variable in CONSTANT block
75/115 Test #75: testvisitor/Scenario: Ion variable in CONSTANT block ................................................ Passed 1.20 sec
Start 76: testvisitor/Scenario: INDEPENDENT block
76/115 Test #76: testvisitor/Scenario: INDEPENDENT block ............................................................. Passed 1.27 sec
Start 77: testvisitor/Scenario: FUNCTION_TABLE block
77/115 Test #77: testvisitor/Scenario: FUNCTION_TABLE block .......................................................... Passed 1.18 sec
Start 78: testvisitor/Scenario: At most one DERIVATIVE block
78/115 Test #78: testvisitor/Scenario: At most one DERIVATIVE block .................................................. Passed 1.23 sec
Start 79: testvisitor/Scenario: RANDOM Construct
79/115 Test #79: testvisitor/Scenario: RANDOM Construct .............................................................. Passed 1.16 sec
Start 80: testvisitor/Scenario: RANGE and FUNCTION/PROCEDURE block
80/115 Test #80: testvisitor/Scenario: RANGE and FUNCTION/PROCEDURE block ............................................ Passed 1.26 sec
Start 81: testvisitor/Solve ODEs using legacy NeuronSolveVisitor
81/115 Test #81: testvisitor/Solve ODEs using legacy NeuronSolveVisitor .............................................. Passed 1.10 sec
Start 82: testvisitor/Scenario: Solving ODEs with STEADYSTATE solve method
82/115 Test #82: testvisitor/Scenario: Solving ODEs with STEADYSTATE solve method .................................... Passed 1.18 sec
Start 83: testvisitor/Scenario: Addition of CONDUCTANCE using SympyConductance visitor
83/115 Test #83: testvisitor/Scenario: Addition of CONDUCTANCE using SympyConductance visitor ........................ Passed 61.38 sec
Start 84: testvisitor/Scenario: Check compare_blocks in sympy unit tests
84/115 Test #84: testvisitor/Scenario: Check compare_blocks in sympy unit tests ...................................... Passed 14.60 sec
Start 85: testvisitor/Scenario: Check local vars name-clash prevention
85/115 Test #85: testvisitor/Scenario: Check local vars name-clash prevention ........................................ Passed 14.67 sec
Start 86: testvisitor/Scenario: Solve ODEs with cnexp or euler method using SympySolverVisitor
86/115 Test #86: testvisitor/Scenario: Solve ODEs with cnexp or euler method using SympySolverVisitor ................ Passed 22.15 sec
Start 87: testvisitor/Scenario: Solve ODEs with derivimplicit method using SympySolverVisitor
87/115 Test #87: testvisitor/Scenario: Solve ODEs with derivimplicit method using SympySolverVisitor ................. Passed 15.00 sec
Start 88: testvisitor/Scenario: LINEAR solve block (SympySolver Visitor)
88/115 Test #88: testvisitor/Scenario: LINEAR solve block (SympySolver Visitor) ...................................... Passed 15.74 sec
Start 89: testvisitor/Scenario: Solve NONLINEAR block using SympySolver Visitor
89/115 Test #89: testvisitor/Scenario: Solve NONLINEAR block using SympySolver Visitor ............................... Passed 14.06 sec
Start 90: testvisitor/Scenario: Solve KINETIC block using SympySolver Visitor
90/115 Test #90: testvisitor/Scenario: Solve KINETIC block using SympySolver Visitor ................................. Passed 15.07 sec
Start 91: testvisitor/Scenario: Code generation for EigenNewtonSolver
91/115 Test #91: testvisitor/Scenario: Code generation for EigenNewtonSolver ......................................... Passed 14.81 sec
Start 92: testvisitor/Scenario: Parse UNITS block of mod files using Units Visitor
92/115 Test #92: testvisitor/Scenario: Parse UNITS block of mod files using Units Visitor ............................ Passed 1.20 sec
Start 93: testvisitor/Scenario: Searching for variable name using VarUsageVisitor
93/115 Test #93: testvisitor/Scenario: Searching for variable name using VarUsageVisitor ............................. Passed 1.26 sec
Start 94: testvisitor/Parse VERBATIM block using Verbatim Visitor
94/115 Test #94: testvisitor/Parse VERBATIM block using Verbatim Visitor ............................................. Passed 1.24 sec
Start 95: testvisitor/Scenario: Get node name with index TestVisitor
95/115 Test #95: testvisitor/Scenario: Get node name with index TestVisitor .......................................... Passed 1.26 sec
Start 96: testprinter/JSON printer converting object to string form
96/115 Test #96: testprinter/JSON printer converting object to string form ........................................... Passed 0.51 sec
Start 97: testsymtab/Scenario: Symbol properties can be added and converted to string
97/115 Test #97: testsymtab/Scenario: Symbol properties can be added and converted to string ......................... Passed 0.46 sec
Start 98: testsymtab/Scenario: Multiple properties can be added to Symbol
98/115 Test #98: testsymtab/Scenario: Multiple properties can be added to Symbol ..................................... Passed 0.45 sec
Start 99: testsymtab/Scenario: Symbol table allows operations like insert, lookup
99/115 Test #99: testsymtab/Scenario: Symbol table allows operations like insert, lookup ............................. Passed 0.44 sec
Start 100: testsymtab/Scenario: Global symbol table (ModelSymbol) allows scope based operations
100/115 Test #100: testsymtab/Scenario: Global symbol table (ModelSymbol) allows scope based operations ................ Passed 0.41 sec
Start 101: testsymtab/Scenario: Symbol class allows manipulation
101/115 Test #101: testsymtab/Scenario: Symbol class allows manipulation ............................................... Passed 0.44 sec
Start 102: testnewton/Scenario: Non-linear system to solve with Newton Numerical Diff Solver
102/115 Test #102: testnewton/Scenario: Non-linear system to solve with Newton Numerical Diff Solver ................... Passed 0.42 sec
Start 103: testnewton/Scenario: Non-linear system to solve with Newton Solver
103/115 Test #103: testnewton/Scenario: Non-linear system to solve with Newton Solver .................................. Passed 0.43 sec
Start 104: testcrout/Scenario: Compare Crout solver with Eigen
104/115 Test #104: testcrout/Scenario: Compare Crout solver with Eigen ................................................. Passed 0.69 sec
Start 105: testunitlexer/Unit Lexer tests for valid tokens
105/115 Test #105: testunitlexer/Unit Lexer tests for valid tokens ..................................................... Passed 0.45 sec
Start 106: testunitparser/Scenario: Unit parser accepting valid units definition
106/115 Test #106: testunitparser/Scenario: Unit parser accepting valid units definition ............................... Passed 0.51 sec
Start 107: testunitparser/Scenario: Unit parser accepting dependent/nested units definition
107/115 Test #107: testunitparser/Scenario: Unit parser accepting dependent/nested units definition .................... Passed 0.43 sec
Start 108: Ode
108/115 Test #108: Ode ................................................................................................. Passed 22.02 sec
Start 109: Pybind
109/115 Test #109: Pybind .............................................................................................. Passed 21.01 sec
Start 110: cabpump.mod
110/115 Test #110: cabpump.mod ......................................................................................... Passed 0.88 sec
Start 111: glia_sparse.mod
111/115 Test #111: glia_sparse.mod ..................................................................................... Passed 14.25 sec
Start 112: ican.mod
112/115 Test #112: ican.mod ............................................................................................ Passed 0.87 sec
Start 113: test_functor.mod
113/115 Test #113: test_functor.mod .................................................................................... Passed 0.87 sec
Start 114: variable_names.mod
114/115 Test #114: variable_names.mod .................................................................................. Passed 15.94 sec
Start 115: watch_test.mod
115/115 Test #115: watch_test.mod ...................................................................................... Passed 0.73 sec
100% tests passed, 0 tests failed out of 115
Total Test time (real) = 367.18 sec
$ cp -r Testing/ ${CI_PROJECT_DIR}/
$ module load unstable unit-test-translator
$ cmake2junit > ${CI_PROJECT_DIR}/ctest.xml
$ exit ${i_am_a_failure}
section_end:1718262671:step_script section_start:1718262671:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=38982 revision=58ba2b95 version=14.2.0
initial_environment.env: found 1 matching files and directories
Testing/: found 7 matching files and directories 
Uploading artifacts as "archive" to coordinator... ok id=1317841 responseStatus=201 Created token=glcbt-64
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=39022 revision=58ba2b95 version=14.2.0
ctest.xml: found 1 matching files and directories 
Uploading artifacts as "junit" to coordinator... ok id=1317841 responseStatus=201 Created token=glcbt-64
section_end:1718262673:upload_artifacts_on_success section_start:1718262673:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1718262674:cleanup_file_variables Job succeeded
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment