Skip to content

Instantly share code, notes, and snippets.

@bbpbuildbot
Created January 30, 2024 19:29
Show Gist options
  • Save bbpbuildbot/ebb7fd84985c50e0f7f14c3555670780 to your computer and use it in GitHub Desktop.
Save bbpbuildbot/ebb7fd84985c50e0f7f14c3555670780 to your computer and use it in GitHub Desktop.
Logfiles for GitLab pipeline https://bbpgitlab.epfl.ch/hpc/cellular/nrn/-/pipelines/189495 (:white_check_mark:) running on GitHub PR neuronsimulator/nrn#2650.
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1706637583:resolve_secrets Resolving secrets
section_end:1706637583:resolve_secrets section_start:1706637583:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor3633761810, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 189495, build ref , job ID 1139121
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1139121_PROD_P2160_CP0_C2
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1994140
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J1139121_PROD_P2160_CP0_C2 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --ntasks=2 --jobid=1994140 --cpus-per-task=8 --mem=76G
section_end:1706637584:prepare_executor section_start:1706637584:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n30 via bbpv1.epfl.ch...
section_end:1706637589:prepare_script section_start:1706637589:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1706637590:get_sources section_start:1706637590:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:intel:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=4883 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1706637596:restore_cache section_start:1706637596:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (1139120)...
Runtime platform  arch=amd64 os=linux pid=5539 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1139120 responseStatus=200 OK token=64_rkmKN
section_end:1706637597:download_artifacts section_start:1706637597:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139121/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139121/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139121/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139121/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139121/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 600
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139121/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495/J1139121_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%oneapi ~rx3d~caliper~gpu+coreneuron~legacy-unit~openmp~shared~sympy+tests build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^/v6ovmhtqx3wstiiamn5f73nzoourfjwu
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994140/ccache
Primary config: /nvme/bbpcihpcproj12/1994140/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 19:00:01 2024
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (90.23 %)
Files: 10025
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%oneapi~caliper+coreneuron~gpu~legacy-unit~openmp~rx3d~shared~sympy+tests build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- k5mkwly neuron@develop%oneapi@2022.2.1~backtrace~caliper+coreneuron~gpu~interviews~ipo~legacy-unit+mpi~openmp~prcellstate+python+report~rx3d~shared~sympy~sympyopt+tests build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] tfmovis ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex+serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 6vmhmdx ^hpe-mpi@2.27.p1.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- qzluzg3 ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] robnu5b ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] v6ovmht ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 4roal44 ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] uucrzmi ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 2zoh6fg ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] a53pqvu ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ua7apk4 ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] oumzlg3 ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] yqakowj ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495, but it is owned by 0
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-hedfpr (external boost-1.80.0-tfmovis2fgojotxjxkbmubnpkxslqlqz)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.27.p1.hmpt : has external module in ['hpe-mpi/2.27.p1.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.27.p1.hmpt-giwtwf (external hpe-mpi-2.27.p1.hmpt-6vmhmdx2nsibj6mzxfb3sxl32cs7c3fc)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-robnu5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-2zoh6f
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-a53pqv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-yqakow
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
==> Installing libsonata-report-develop-qzluzg3stdzoixmjm4zmv4wcj6xehme2
==> No binary for libsonata-report-develop-qzluzg3stdzoixmjm4zmv4wcj6xehme2 found: installing from source
==> No patches needed for libsonata-report
==> libsonata-report: Executing phase: 'cmake'
==> libsonata-report: Executing phase: 'build'
==> libsonata-report: Executing phase: 'install'
==> libsonata-report: Successfully installed libsonata-report-develop-qzluzg3stdzoixmjm4zmv4wcj6xehme2
Fetch: 2.30s. Build: 5.14s. Total: 7.44s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/libsonata-report-develop-qzluzg
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-oumzlg
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-uucrzm
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-4roal4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/nmodl-develop-v6ovmh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-ua7apk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
==> Installing neuron-develop-k5mkwlyebxipydfjily3fe5oujyjdwld
==> No binary for neuron-develop-k5mkwlyebxipydfjily3fe5oujyjdwld found: installing from source
==> No patches needed for neuron
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-k5mkwlyebxipydfjily3fe5oujyjdwld
Fetch: 24.11s. Build: 39m 52.71s. Total: 40m 16.81s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_oneapi-2022.2.1-skylake/neuron-develop-k5mkwl
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be k5mkwlyebxipydfjily3fe5oujyjdwld
$ SPACK_STAGE_DIR=$(spack location -s "${SPACK_FULL_SPEC}")
$ SPACK_BUILD_DIR=$(spack location -b "${SPACK_FULL_SPEC}")
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994140/ccache
Primary config: /nvme/bbpcihpcproj12/1994140/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 19:45:04 2024
Hits: 559 / 887 (63.02 %)
Direct: 182 / 891 (20.43 %)
Preprocessed: 377 / 708 (53.25 %)
Misses: 328
Direct: 709
Preprocessed: 331
Uncacheable: 1299
Primary storage:
Hits: 1177 / 1781 (66.09 %)
Misses: 604
Cache size (GB): 0.45 / 0.51 (87.19 %)
Files: 8965
Cleanups: 10
Uncacheable:
Autoconf compile/link: 5
Called for linking: 1271
Called for preprocessing: 1
Compilation failed: 3
No input file: 18
Preprocessing failed: 1
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.27.p1.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1706640307:step_script section_start:1706640307:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:intel:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=52467 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Aintel%3Alegacy-1-non_protected
Created cache
section_end:1706640327:archive_cache section_start:1706640327:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=52668 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1139121 responseStatus=201 Created token=64_rkmKN
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=52708 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=1139121 responseStatus=201 Created token=64_rkmKN
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=52747 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1139121 responseStatus=201 Created token=64_rkmKN
section_end:1706640329:upload_artifacts_on_success section_start:1706640329:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1706640330:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1706637584:resolve_secrets Resolving secrets
section_end:1706637584:resolve_secrets section_start:1706637584:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor821367738, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 189495, build ref , job ID 1139122
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1139122_PROD_P2160_CP1_C4
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1994141
job state: PD
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J1139122_PROD_P2160_CP1_C4 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --ntasks=2 --jobid=1994141 --cpus-per-task=8 --mem=76G
section_end:1706637587:prepare_executor section_start:1706637587:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n30 via bbpv1.epfl.ch...
section_end:1706637589:prepare_script section_start:1706637589:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1706637590:get_sources section_start:1706637590:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:intel:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=4761 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1706637596:restore_cache section_start:1706637596:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (1139120)...
Runtime platform  arch=amd64 os=linux pid=5454 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1139120 responseStatus=200 OK token=64_2Rbe_
section_end:1706637597:download_artifacts section_start:1706637597:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139122/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139122/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139122/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139122/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139122/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 600
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139122/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495/J1139122_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%oneapi ~rx3d~caliper~gpu+coreneuron~legacy-unit~openmp+shared+sympy+tests build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^/v6ovmhtqx3wstiiamn5f73nzoourfjwu
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994141/ccache
Primary config: /nvme/bbpcihpcproj12/1994141/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 19:00:01 2024
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (89.86 %)
Files: 9549
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%oneapi~caliper+coreneuron~gpu~legacy-unit~openmp~rx3d+shared+sympy+tests build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- alda6yb neuron@develop%oneapi@2022.2.1~backtrace~caliper+coreneuron~gpu~interviews~ipo~legacy-unit+mpi~openmp~prcellstate+python+report~rx3d+shared+sympy~sympyopt+tests build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] tfmovis ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex+serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 6vmhmdx ^hpe-mpi@2.27.p1.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- qzluzg3 ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] robnu5b ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] v6ovmht ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 4roal44 ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] uucrzmi ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 2zoh6fg ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] a53pqvu ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ua7apk4 ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] oumzlg3 ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] yqakowj ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-hedfpr (external boost-1.80.0-tfmovis2fgojotxjxkbmubnpkxslqlqz)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.27.p1.hmpt : has external module in ['hpe-mpi/2.27.p1.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.27.p1.hmpt-giwtwf (external hpe-mpi-2.27.p1.hmpt-6vmhmdx2nsibj6mzxfb3sxl32cs7c3fc)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-robnu5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-yqakow
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-2zoh6f
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-a53pqv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-oumzlg
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-uucrzm
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-4roal4
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/libsonata-report-develop-qzluzg
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/nmodl-develop-v6ovmh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-ua7apk
==> Installing neuron-develop-alda6ybpmny4mtgj33txbm5pcdkbsbvs
==> No binary for neuron-develop-alda6ybpmny4mtgj33txbm5pcdkbsbvs found: installing from source
==> No patches needed for neuron
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-alda6ybpmny4mtgj33txbm5pcdkbsbvs
Fetch: 16.66s. Build: 37m 48.64s. Total: 38m 5.30s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_oneapi-2022.2.1-skylake/neuron-develop-alda6y
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be alda6ybpmny4mtgj33txbm5pcdkbsbvs
$ SPACK_STAGE_DIR=$(spack location -s "${SPACK_FULL_SPEC}")
$ SPACK_BUILD_DIR=$(spack location -b "${SPACK_FULL_SPEC}")
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994141/ccache
Primary config: /nvme/bbpcihpcproj12/1994141/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 19:43:01 2024
Hits: 537 / 865 (62.08 %)
Direct: 159 / 869 (18.30 %)
Preprocessed: 378 / 709 (53.31 %)
Misses: 328
Direct: 710
Preprocessed: 331
Uncacheable: 1295
Primary storage:
Hits: 1132 / 1737 (65.17 %)
Misses: 605
Cache size (GB): 0.45 / 0.51 (87.94 %)
Files: 9049
Cleanups: 9
Uncacheable:
Autoconf compile/link: 5
Called for linking: 1277
Called for preprocessing: 1
Compilation failed: 3
No input file: 8
Preprocessing failed: 1
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.27.p1.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1706640184:step_script section_start:1706640184:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:intel:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=51422 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Aintel%3Ashared-1-non_protected
Created cache
section_end:1706640205:archive_cache section_start:1706640205:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=51599 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1139122 responseStatus=201 Created token=64_2Rbe_
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=51638 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=1139122 responseStatus=201 Created token=64_2Rbe_
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=51679 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1139122 responseStatus=201 Created token=64_2Rbe_
section_end:1706640207:upload_artifacts_on_success section_start:1706640207:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1706640208:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1706637584:resolve_secrets Resolving secrets
section_end:1706637584:resolve_secrets section_start:1706637584:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor3593632743, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 189495, build ref , job ID 1139123
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1139123_PROD_P2160_CP2_C5
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1994142
job state: PD
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J1139123_PROD_P2160_CP2_C5 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --ntasks=2 --jobid=1994142 --cpus-per-task=8 --mem=76G
section_end:1706637588:prepare_executor section_start:1706637588:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n30 via bbpv1.epfl.ch...
section_end:1706637589:prepare_script section_start:1706637589:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1706637590:get_sources section_start:1706637590:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:nvhpc:acc:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=4921 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1706637596:restore_cache section_start:1706637596:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (1139120)...
Runtime platform  arch=amd64 os=linux pid=5383 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1139120 responseStatus=200 OK token=64_gxzFb
section_end:1706637597:download_artifacts section_start:1706637597:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139123/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139123/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139123/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139123/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139123/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 600
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139123/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495/J1139123_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d~caliper+gpu+coreneuron~legacy-unit~openmp~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^/v6ovmhtqx3wstiiamn5f73nzoourfjwu
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994142/ccache
Primary config: /nvme/bbpcihpcproj12/1994142/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 19:00:01 2024
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (90.15 %)
Files: 13901
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc~caliper+coreneuron+gpu~legacy-unit~openmp~rx3d~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- 2b5os6h neuron@develop%nvhpc@23.1~backtrace~caliper+coreneuron+gpu~interviews~ipo~legacy-unit+mpi~openmp~prcellstate+python+report~rx3d~shared~sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] tfmovis ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex+serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 6vmhmdx ^hpe-mpi@2.27.p1.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- qzluzg3 ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] robnu5b ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] v6ovmht ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 4roal44 ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] uucrzmi ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 2zoh6fg ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] a53pqvu ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ua7apk4 ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] oumzlg3 ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] yqakowj ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-hedfpr (external boost-1.80.0-tfmovis2fgojotxjxkbmubnpkxslqlqz)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.27.p1.hmpt : has external module in ['hpe-mpi/2.27.p1.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.27.p1.hmpt-giwtwf (external hpe-mpi-2.27.p1.hmpt-6vmhmdx2nsibj6mzxfb3sxl32cs7c3fc)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-robnu5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-2zoh6f
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-yqakow
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-a53pqv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-oumzlg
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-uucrzm
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-4roal4
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/libsonata-report-develop-qzluzg
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/nmodl-develop-v6ovmh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-ua7apk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
==> Installing neuron-develop-2b5os6h7ky5w66inncgb3k6ponmkc663
==> No binary for neuron-develop-2b5os6h7ky5w66inncgb3k6ponmkc663 found: installing from source
==> No patches needed for neuron
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-2b5os6h7ky5w66inncgb3k6ponmkc663
Fetch: 20.98s. Build: 1h 12m 19.12s. Total: 1h 12m 40.09s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_nvhpc-23.1-skylake/neuron-develop-2b5os6
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be 2b5os6h7ky5w66inncgb3k6ponmkc663
$ SPACK_STAGE_DIR=$(spack location -s "${SPACK_FULL_SPEC}")
$ SPACK_BUILD_DIR=$(spack location -b "${SPACK_FULL_SPEC}")
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994142/ccache
Primary config: /nvme/bbpcihpcproj12/1994142/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 20:16:40 2024
Hits: 537 / 913 (58.82 %)
Direct: 160 / 868 (18.43 %)
Preprocessed: 377 / 706 (53.40 %)
Misses: 376
Direct: 708
Preprocessed: 329
Uncacheable: 115
Primary storage:
Hits: 1131 / 1734 (65.22 %)
Misses: 603
Cache size (GB): 0.46 / 0.51 (90.01 %)
Files: 12971
Cleanups: 6
Uncacheable:
Autoconf compile/link: 5
Called for linking: 95
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 2
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.27.p1.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1706642201:step_script section_start:1706642201:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:nvhpc:acc:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=78325 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aacc%3Alegacy-1-non_protected
Created cache
section_end:1706642221:archive_cache section_start:1706642221:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=78552 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1139123 responseStatus=201 Created token=64_gxzFb
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=78589 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=1139123 responseStatus=201 Created token=64_gxzFb
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=78627 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1139123 responseStatus=201 Created token=64_gxzFb
section_end:1706642222:upload_artifacts_on_success section_start:1706642222:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1706642223:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1706637585:resolve_secrets Resolving secrets
section_end:1706637585:resolve_secrets section_start:1706637585:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor2563501531, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 189495, build ref , job ID 1139124
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1139124_PROD_P2160_CP3_C6
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1994143
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J1139124_PROD_P2160_CP3_C6 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --ntasks=2 --jobid=1994143 --cpus-per-task=8 --mem=76G
section_end:1706637587:prepare_executor section_start:1706637587:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n30 via bbpv1.epfl.ch...
section_end:1706637593:prepare_script section_start:1706637593:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1706637594:get_sources section_start:1706637594:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:nvhpc:acc:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=5234 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1706637600:restore_cache section_start:1706637600:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (1139120)...
Runtime platform  arch=amd64 os=linux pid=7157 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1139120 responseStatus=200 OK token=64_fMeTr
section_end:1706637601:download_artifacts section_start:1706637601:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139124/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139124/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139124/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139124/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139124/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 600
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139124/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495/J1139124_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d~caliper+gpu+coreneuron~legacy-unit~openmp+shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^/v6ovmhtqx3wstiiamn5f73nzoourfjwu
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994143/ccache
Primary config: /nvme/bbpcihpcproj12/1994143/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 19:00:05 2024
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.47 / 0.51 (92.10 %)
Files: 15474
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc~caliper+coreneuron+gpu~legacy-unit~openmp~rx3d+shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- xilxlom neuron@develop%nvhpc@23.1~backtrace~caliper+coreneuron+gpu~interviews~ipo~legacy-unit+mpi~openmp~prcellstate+python+report~rx3d+shared+sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] tfmovis ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex+serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 6vmhmdx ^hpe-mpi@2.27.p1.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- qzluzg3 ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] robnu5b ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] v6ovmht ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 4roal44 ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] uucrzmi ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 2zoh6fg ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] a53pqvu ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ua7apk4 ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] oumzlg3 ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] yqakowj ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-hedfpr (external boost-1.80.0-tfmovis2fgojotxjxkbmubnpkxslqlqz)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
==> hpe-mpi@2.27.p1.hmpt : has external module in ['hpe-mpi/2.27.p1.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.27.p1.hmpt-giwtwf (external hpe-mpi-2.27.p1.hmpt-6vmhmdx2nsibj6mzxfb3sxl32cs7c3fc)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-robnu5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-2zoh6f
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-a53pqv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-yqakow
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-oumzlg
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-4roal4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-uucrzm
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/libsonata-report-develop-qzluzg
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/nmodl-develop-v6ovmh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-ua7apk
==> Installing neuron-develop-xilxlomjyjmfj4ocdmcfw2eepyi73snh
==> No binary for neuron-develop-xilxlomjyjmfj4ocdmcfw2eepyi73snh found: installing from source
==> No patches needed for neuron
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-xilxlomjyjmfj4ocdmcfw2eepyi73snh
Fetch: 18.76s. Build: 1h 12m 21.00s. Total: 1h 12m 39.77s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_nvhpc-23.1-skylake/neuron-develop-xilxlo
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be xilxlomjyjmfj4ocdmcfw2eepyi73snh
$ SPACK_STAGE_DIR=$(spack location -s "${SPACK_FULL_SPEC}")
$ SPACK_BUILD_DIR=$(spack location -b "${SPACK_FULL_SPEC}")
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994143/ccache
Primary config: /nvme/bbpcihpcproj12/1994143/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 20:16:41 2024
Hits: 537 / 981 (54.74 %)
Direct: 160 / 868 (18.43 %)
Preprocessed: 377 / 706 (53.40 %)
Misses: 444
Direct: 708
Preprocessed: 329
Uncacheable: 124
Primary storage:
Hits: 1133 / 1734 (65.34 %)
Misses: 601
Cache size (GB): 0.46 / 0.51 (90.52 %)
Files: 13908
Cleanups: 7
Uncacheable:
Autoconf compile/link: 5
Called for linking: 104
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 2
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.27.p1.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1706642203:step_script section_start:1706642203:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:nvhpc:acc:shared-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=78430 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aacc%3Ashared-1-non_protected
Created cache
section_end:1706642223:archive_cache section_start:1706642223:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=78977 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1139124 responseStatus=201 Created token=64_fMeTr
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=79005 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=1139124 responseStatus=201 Created token=64_fMeTr
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=79045 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1139124 responseStatus=201 Created token=64_fMeTr
section_end:1706642225:upload_artifacts_on_success section_start:1706642225:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1706642226:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1706637585:resolve_secrets Resolving secrets
section_end:1706637585:resolve_secrets section_start:1706637585:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor3702686112, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 189495, build ref , job ID 1139125
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1139125_PROD_P2160_CP4_C7
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1994144
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J1139125_PROD_P2160_CP4_C7 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --ntasks=2 --jobid=1994144 --cpus-per-task=8 --mem=76G
section_end:1706637588:prepare_executor section_start:1706637588:prepare_script Preparing environment
Using git from spack modules
Running on r1i7n21 via bbpv1.epfl.ch...
section_end:1706637593:prepare_script section_start:1706637593:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1706637594:get_sources section_start:1706637594:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:nvhpc:omp:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=470 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1706637600:restore_cache section_start:1706637600:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (1139120)...
Runtime platform  arch=amd64 os=linux pid=694 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1139120 responseStatus=200 OK token=64_jaMYX
section_end:1706637601:download_artifacts section_start:1706637601:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139125/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139125/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139125/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139125/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139125/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 600
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139125/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495/J1139125_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d+caliper+gpu+coreneuron~legacy-unit+openmp~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^caliper+cuda cuda_arch=70 ^/v6ovmhtqx3wstiiamn5f73nzoourfjwu
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994144/ccache
Primary config: /nvme/bbpcihpcproj12/1994144/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 19:00:05 2024
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (89.16 %)
Files: 13582
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc+caliper+coreneuron+gpu~legacy-unit+openmp~rx3d~shared~sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
- ^caliper+cuda cuda_arch=70
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- eiruxtf neuron@develop%nvhpc@23.1~backtrace+caliper+coreneuron+gpu~interviews~ipo~legacy-unit+mpi+openmp~prcellstate+python+report~rx3d~shared~sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] tfmovis ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex+serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] 5nl5jk4 ^caliper@2.8.0%gcc@12.2.0+adiak+cuda~fortran+gotcha~ipo+libdw~libpfm+libunwind+mpi+papi~rocm+sampler+shared~sosflow build_system=cmake build_type=RelWithDebInfo cuda_arch=70 arch=linux-rhel7-skylake
[^] xp4icmj ^adiak@0.2.2%gcc@12.2.0~ipo+mpi+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 7yt5klx ^elfutils@0.188%gcc@12.2.0~bzip2~debuginfod+nls~xz~zstd build_system=autotools arch=linux-rhel7-skylake
[^] zttduab ^m4@1.4.16%gcc@12.2.0+sigsegv build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] ja6blio ^libunwind@1.6.2%gcc@12.2.0~block_signals~conservative_checks~cxx_exceptions~debug~debug_frame+docs~pic+tests+weak_backtrace~xz~zlib build_system=autotools components=none libs=shared,static arch=linux-rhel7-skylake
[^] 3gsobub ^papi@6.0.0.1%gcc@12.2.0~cuda+example~infiniband~lmsensors~nvml~powercap~rapl~rocm~rocm_smi~sde+shared~static_tools build_system=autotools arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 6vmhmdx ^hpe-mpi@2.27.p1.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- qzluzg3 ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] robnu5b ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] v6ovmht ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 4roal44 ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] uucrzmi ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 2zoh6fg ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] a53pqvu ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ua7apk4 ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] oumzlg3 ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] yqakowj ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-hedfpr (external boost-1.80.0-tfmovis2fgojotxjxkbmubnpkxslqlqz)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> hpe-mpi@2.27.p1.hmpt : has external module in ['hpe-mpi/2.27.p1.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.27.p1.hmpt-giwtwf (external hpe-mpi-2.27.p1.hmpt-6vmhmdx2nsibj6mzxfb3sxl32cs7c3fc)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
[+] /usr (external m4-1.4.16-zttduab7wfircnz7epbqj5ghrnfugdox)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libunwind-1.6.2-ja6bli
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/papi-6.0.0.1-3gsobu
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/adiak-0.2.2-xp4icm
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-robnu5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-2zoh6f
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-a53pqv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-yqakow
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-oumzlg
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/libsonata-report-develop-qzluzg
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/elfutils-0.188-7yt5kl
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-uucrzm
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-4roal4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/caliper-2.8.0-5nl5jk
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/nmodl-develop-v6ovmh
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-ua7apk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
==> Installing neuron-develop-eiruxtfkgmv4r7akm6th5ipzwfpt46jx
==> No binary for neuron-develop-eiruxtfkgmv4r7akm6th5ipzwfpt46jx found: installing from source
==> No patches needed for neuron
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-eiruxtfkgmv4r7akm6th5ipzwfpt46jx
Fetch: 19.64s. Build: 57m 37.33s. Total: 57m 56.97s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_nvhpc-23.1-skylake/neuron-develop-eiruxt
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be eiruxtfkgmv4r7akm6th5ipzwfpt46jx
$ SPACK_STAGE_DIR=$(spack location -s "${SPACK_FULL_SPEC}")
$ SPACK_BUILD_DIR=$(spack location -b "${SPACK_FULL_SPEC}")
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994144/ccache
Primary config: /nvme/bbpcihpcproj12/1994144/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 20:01:57 2024
Hits: 537 / 923 (58.18 %)
Direct: 160 / 870 (18.39 %)
Preprocessed: 377 / 708 (53.25 %)
Misses: 386
Direct: 710
Preprocessed: 331
Uncacheable: 117
Primary storage:
Hits: 1133 / 1738 (65.19 %)
Misses: 605
Cache size (GB): 0.47 / 0.51 (91.87 %)
Files: 13370
Cleanups: 4
Uncacheable:
Autoconf compile/link: 5
Called for linking: 97
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 2
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.27.p1.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1706641318:step_script section_start:1706641318:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:nvhpc:omp:legacy-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=41767 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aomp%3Alegacy-1-non_protected
Created cache
section_end:1706641339:archive_cache section_start:1706641339:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=41925 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1139125 responseStatus=201 Created token=64_jaMYX
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=41962 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=1139125 responseStatus=201 Created token=64_jaMYX
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=42003 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1139125 responseStatus=201 Created token=64_jaMYX
section_end:1706641340:upload_artifacts_on_success section_start:1706641340:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1706641341:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1706637586:resolve_secrets Resolving secrets
section_end:1706637586:resolve_secrets section_start:1706637586:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor2612460599, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 189495, build ref , job ID 1139126
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1139126_PROD_P2160_CP5_C8
Job parameters: memory=76G, cpus_per_task=8, duration=2:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1994145
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J1139126_PROD_P2160_CP5_C8 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --time=2:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --ntasks=2 --jobid=1994145 --cpus-per-task=8 --mem=76G
section_end:1706637587:prepare_executor section_start:1706637587:prepare_script Preparing environment
Using git from spack modules
Running on r1i7n21 via bbpv1.epfl.ch...
section_end:1706637593:prepare_script section_start:1706637593:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1706637594:get_sources section_start:1706637594:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:neuron:nmodl:nvhpc:omp-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=512 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1706637600:restore_cache section_start:1706637600:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for build:nmodl (1139120)...
Runtime platform  arch=amd64 os=linux pid=757 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1139120 responseStatus=200 OK token=64_snrGt
section_end:1706637601:download_artifacts section_start:1706637601:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139126/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139126/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139126/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139126/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139126/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 600
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139126/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495/J1139126_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install neuron%nvhpc ~rx3d+caliper+gpu+coreneuron~legacy-unit+openmp~shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy ^caliper+cuda cuda_arch=70 ^/v6ovmhtqx3wstiiamn5f73nzoourfjwu
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994145/ccache
Primary config: /nvme/bbpcihpcproj12/1994145/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 19:00:05 2024
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (90.09 %)
Files: 12484
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- neuron%nvhpc+caliper+coreneuron+gpu~legacy-unit+openmp~rx3d~shared+sympy+tests~unified build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy
- ^caliper+cuda cuda_arch=70
[+] ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
Concretized
--------------------------------
- 6iod3le neuron@develop%nvhpc@23.1~backtrace+caliper+coreneuron+gpu~interviews~ipo~legacy-unit+mpi+openmp~prcellstate+python+report~rx3d~shared+sympy~sympyopt+tests~unified build_system=cmake build_type=FastDebug model_tests=channel-benchmark,olfactory,tqperf-heavy sanitizers=None arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] tfmovis ^boost@1.80.0%gcc@12.2.0~atomic~chrono~clanglibcpp~container~context~contract~coroutine~date_time~debug~exception~fiber+filesystem~graph~graph_parallel~icu~iostreams~json~locale~log~math~mpi+multithreaded~nowide~numpy+pic~program_options~python~random~regex+serialization+shared~signals~singlethreaded~stacktrace~system~taggedlayout+test~thread~timer~type_erasure~versionedlayout~wave build_system=generic cxxstd=98 patches=a440f96 visibility=hidden arch=linux-rhel7-skylake
[^] 5nl5jk4 ^caliper@2.8.0%gcc@12.2.0+adiak+cuda~fortran+gotcha~ipo+libdw~libpfm+libunwind+mpi+papi~rocm+sampler+shared~sosflow build_system=cmake build_type=RelWithDebInfo cuda_arch=70 arch=linux-rhel7-skylake
[^] xp4icmj ^adiak@0.2.2%gcc@12.2.0~ipo+mpi+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 7yt5klx ^elfutils@0.188%gcc@12.2.0~bzip2~debuginfod+nls~xz~zstd build_system=autotools arch=linux-rhel7-skylake
[^] zttduab ^m4@1.4.16%gcc@12.2.0+sigsegv build_system=autotools arch=linux-rhel7-skylake
[^] ogdpwov ^zlib@1.2.13%gcc@12.2.0+optimize+pic+shared build_system=makefile arch=linux-rhel7-skylake
[^] ja6blio ^libunwind@1.6.2%gcc@12.2.0~block_signals~conservative_checks~cxx_exceptions~debug~debug_frame+docs~pic+tests+weak_backtrace~xz~zlib build_system=autotools components=none libs=shared,static arch=linux-rhel7-skylake
[^] 3gsobub ^papi@6.0.0.1%gcc@12.2.0~cuda+example~infiniband~lmsensors~nvml~powercap~rapl~rocm~rocm_smi~sde+shared~static_tools build_system=autotools arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] ajstvdu ^cuda@12.0.0%gcc@12.2.0~allow-unsupported-compilers~dev build_system=generic arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] xztjuru ^gettext@0.21.1%gcc@12.2.0+bzip2+curses+git~libunistring+libxml2+tar+xz build_system=autotools arch=linux-rhel7-skylake
[^] ms32l57 ^bzip2@1.0.8%gcc@12.2.0~debug~pic+shared build_system=generic arch=linux-rhel7-skylake
[^] 455jiaa ^libiconv@1.16%gcc@12.2.0 build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] sktzpiy ^libxml2@2.10.1%gcc@12.2.0~python build_system=autotools arch=linux-rhel7-skylake
[^] q4mt2xu ^pkgconf@1.8.0%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 3mknztm ^tar@1.28%gcc@12.2.0 build_system=autotools patches=08921fc,125cd61,5c314db,d428578 zip=pigz arch=linux-rhel7-skylake
[^] qy7gxuh ^xz@5.2.7%gcc@12.2.0~pic build_system=autotools libs=shared,static arch=linux-rhel7-skylake
[^] 6vmhmdx ^hpe-mpi@2.27.p1.hmpt%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
- qzluzg3 ^libsonata-report@develop%gcc@12.2.0~ipo+mpi build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] robnu5b ^hdf5@1.14.0%gcc@12.2.0+cxx~fortran+hl~ipo~java~map+mpi~page_buffer_patch+shared~szip~threadsafe+tools api=default build_system=cmake build_type=RelWithDebInfo patches=0b5dd6f arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] kjtivgw ^ncurses@6.3%gcc@12.2.0~symlinks+termlib abi=none build_system=autotools arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[+] v6ovmht ^nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 4roal44 ^py-mpi4py@3.1.4%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ly6cmfc ^py-numpy@1.22.4%gcc@12.2.0+blas+lapack build_system=python_pip patches=873745d arch=linux-rhel7-skylake
[^] fnkrysr ^intel-oneapi-mkl@2021.4.0%gcc@12.2.0~cluster~ilp64+shared build_system=generic arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] uucrzmi ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 2zoh6fg ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] a53pqvu ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ua7apk4 ^py-pytest-cov@3.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6pjz7mt ^py-coverage@6.4.4%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] oumzlg3 ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] yqakowj ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] ht7d3fj ^readline@8.1.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/boost-1.80.0-hedfpr (external boost-1.80.0-tfmovis2fgojotxjxkbmubnpkxslqlqz)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> hpe-mpi@2.27.p1.hmpt : has external module in ['hpe-mpi/2.27.p1.hmpt']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/hpe-mpi-2.27.p1.hmpt-giwtwf (external hpe-mpi-2.27.p1.hmpt-6vmhmdx2nsibj6mzxfb3sxl32cs7c3fc)
==> cuda@12.0.0 : has external module in ['cuda/12.0.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cuda-12.0.0-4amlih (external cuda-12.0.0-ajstvduqrwv4fljodkrtycsn5atyd44t)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bzip2-1.0.8-e7n5ge (external bzip2-1.0.8-ms32l57ytvbcsrj3zhe3hban73lgynpm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libiconv-1.16-455jia
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/pkgconf-1.8.0-q4mt2x
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/xz-5.2.7-qy7gxu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/zlib-1.2.13-7d6hpk (external zlib-1.2.13-ogdpwovxjavmphqzlyrjfggoexpa4di2)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ncurses-6.3-mslafi (external ncurses-6.3-kjtivgwlm2tlta25c64eo7gxvxlxj7td)
[+] /usr (external tar-1.28-3mknztmfmrpum3mzcmd2rk7vvf76cskj)
[+] /usr (external m4-1.4.16-zttduab7wfircnz7epbqj5ghrnfugdox)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libunwind-1.6.2-ja6bli
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/papi-6.0.0.1-3gsobu
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
==> intel-oneapi-mkl@2021.4.0 : has external module in ['intel-oneapi-mkl/2021.4.0']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/intel-oneapi-mkl-2021.4.0-q7vl7m (external intel-oneapi-mkl-2021.4.0-fnkrysrw7agok7ie2ffw2psaxrrcygjm)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/readline-8.1.2-kapavr (external readline-8.1.2-ht7d3fjkgkkonyn5cozpooil4pjqhu5n)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/adiak-0.2.2-xp4icm
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/hdf5-1.14.0-robnu5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libxml2-2.10.1-sktzpi
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-2zoh6f
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-a53pqv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-yqakow
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/gettext-0.21.1-xztjur
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-oumzlg
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/libsonata-report-develop-qzluzg
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/elfutils-0.188-7yt5kl
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpi4py-3.1.4-4roal4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-coverage-6.4.4-6pjz7m
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-uucrzm
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/caliper-2.8.0-5nl5jk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-numpy-1.22.4-ly6cmf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-cov-3.0.0-ua7apk
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/nmodl-develop-v6ovmh
==> Installing neuron-develop-6iod3levriy6z4puvaev3lby6he52rvd
==> No binary for neuron-develop-6iod3levriy6z4puvaev3lby6he52rvd found: installing from source
==> No patches needed for neuron
==> neuron: Executing phase: 'cmake'
==> neuron: Executing phase: 'build'
==> neuron: Executing phase: 'install'
==> neuron: Successfully installed neuron-develop-6iod3levriy6z4puvaev3lby6he52rvd
Fetch: 26.33s. Build: 57m 57.97s. Total: 58m 24.29s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_nvhpc-23.1-skylake/neuron-develop-6iod3l
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be 6iod3levriy6z4puvaev3lby6he52rvd
$ SPACK_STAGE_DIR=$(spack location -s "${SPACK_FULL_SPEC}")
$ SPACK_BUILD_DIR=$(spack location -b "${SPACK_FULL_SPEC}")
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994145/ccache
Primary config: /nvme/bbpcihpcproj12/1994145/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 20:02:21 2024
Hits: 538 / 923 (58.29 %)
Direct: 160 / 870 (18.39 %)
Preprocessed: 378 / 708 (53.39 %)
Misses: 385
Direct: 710
Preprocessed: 330
Uncacheable: 117
Primary storage:
Hits: 1132 / 1738 (65.13 %)
Misses: 606
Cache size (GB): 0.45 / 0.51 (87.31 %)
Files: 11277
Cleanups: 8
Uncacheable:
Autoconf compile/link: 5
Called for linking: 97
Called for preprocessing: 2
Compilation failed: 3
No input file: 8
Preprocessing failed: 2
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.27.p1.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1706641342:step_script section_start:1706641342:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:neuron:nmodl:nvhpc:omp-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=42366 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Aneuron%3Anmodl%3Anvhpc%3Aomp-1-non_protected
Created cache
section_end:1706641370:archive_cache section_start:1706641370:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=42500 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1139126 responseStatus=201 Created token=64_snrGt
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=42540 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=1139126 responseStatus=201 Created token=64_snrGt
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=42584 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1139126 responseStatus=201 Created token=64_snrGt
section_end:1706641372:upload_artifacts_on_success section_start:1706641372:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1706641373:cleanup_file_variables Job succeeded
Running with gitlab-runner 15.5.0 (0d4137b8)
 on BB5 map runner pnPo3yJy
section_start:1706637148:resolve_secrets Resolving secrets
section_end:1706637148:resolve_secrets section_start:1706637148:prepare_executor Preparing the "custom" executor
Using Custom executor with driver BB5 PROD runner v0.0.3...
BB5 PROD runner running on bbpv1.epfl.ch, version 15.5.0, user
TMPDIR is /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/tmp/custom-executor4227802280, slurm job id , CUSTOM_ENV_CI_RUNNER_TAGS is ["bb5_map"]
Runner ID 29, project root hpc, project name nrn
Pipeline ID 189495, build ref , job ID 1139120
Build dir /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495, optional exclusive flag , optional cpus per task flag --cpus-per-task=8, optional qos flag , optional reservation flag
A slurm job will be created with name GL_J1139120_PROD_P2160_CP0_C2
Job parameters: memory=76G, cpus_per_task=8, duration=1:00:00, constraint=cpu ntasks=2 account=proj9998 user=bbpcihpcproj12 partition=prod qos= reservation=
Not executing the chown -R
sbatch: INFO: Activating auto partition selection plugin, please report errors to HPC/CS
sbatch: INFO: Job specifies cpu constraint, setting --constraint=[skl|clx]
Submitted batch job 1994130
job state: PD
job state: PD
job state: R
sbatch: sbatch -p prod -A proj9998 --ntasks=2 --cpus-per-task=8 --mem=76G --job-name=GL_J1139120_PROD_P2160_CP0_C2 -C cpu --no-requeue -D /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --time=1:00:00 --wrap="sleep infinity"
srun: srun --mpi=none --chdir=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495 --ntasks=2 --jobid=1994130 --cpus-per-task=8 --mem=76G
section_end:1706637152:prepare_executor section_start:1706637152:prepare_script Preparing environment
Using git from spack modules
Running on r1i4n30 via bbpv1.epfl.ch...
section_end:1706637155:prepare_script section_start:1706637155:get_sources Getting source from Git repository
Using git from spack modules
Skipping Git repository setup
Skipping Git checkout
Skipping Git submodules setup
section_end:1706637156:get_sources section_start:1706637156:restore_cache Restoring cache
Using git from spack modules
Checking cache for build:nmodl-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=301910 revision=58ba2b95 version=14.2.0
cache.zip is up to date 
Successfully extracted cache
section_end:1706637162:restore_cache section_start:1706637162:download_artifacts Downloading artifacts
Using git from spack modules
Downloading artifacts for spack_setup (1139118)...
Runtime platform  arch=amd64 os=linux pid=302011 revision=58ba2b95 version=14.2.0
Downloading artifacts from coordinator... ok  id=1139118 responseStatus=200 OK token=64_qRyWo
section_end:1706637162:download_artifacts section_start:1706637162:step_script Executing "step_script" stage of the job script
WARNING: Starting with version 14.0 the 'build_script' stage will be replaced with 'step_script': https://gitlab.com/gitlab-org/gitlab-runner/-/issues/26426
Using git from spack modules
$ SPACK_BUILD="${PWD}/spack-build"
$ env -0 | sort -z | xargs -0 -L 1 echo > initial_environment.env
$ . ${SPACK_ROOT}/share/spack/setup-env.sh
$ export SPACK_USER_CONFIG_PATH=${PWD}/spack-config
$ mkdir ${SPACK_USER_CONFIG_PATH}
$ cat > ${SPACK_USER_CONFIG_PATH}/config.yaml << END_SCRIPT # collapsed multi-line command
$ spack ${SPACK_EXTRA_FLAGS} config add concretizer:reuse:false
$ spack ${SPACK_EXTRA_FLAGS} config blame config
--- config:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139120/spack-config/config.yaml:2 build_stage:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139120/spack-config/config.yaml:3 - /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139120/spack-build
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139120/spack-config/config.yaml:4 source_cache: /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139120/spack-source-cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/config.yaml:2 ccache: True
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:2 install_tree:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:3 root: $user_cache_path/software
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:4 projections:
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:5 all: install_{compiler.name}-{compiler.version}-{target}/{name}-{version}-{hash:6}
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:7 environments_root: $user_cache_path/environments
/gpfs/bbp.cscs.ch/ssd/apps/bsd//config/config.yaml:9 build_jobs: 8
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 extensions:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:18 - $spack/bluebrain/spack-scripting
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # This is the path to the root of the Spack install tree.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:19 # You can use $spack here to refer to the root of the spack instance.
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 template_dirs:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:37 - $spack/share/spack/templates
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:38 # Directory where licenses should be located
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:41 license_dir: $spack/etc/spack/licenses
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:76 test_stage: $user_cache_path/test
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:89 misc_cache: $user_cache_path/cache
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:95 connect_timeout: 10
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:100 verify_ssl: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:108 suppress_gpg_warnings: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:114 install_missing_compilers: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:119 checksum: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:124 deprecated: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:129 dirty: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:137 build_language: C
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:146 locks: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:151 url_fetch_method: urllib
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:180 concretizer: clingo
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:187 db_lock_timeout: 600
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:195 package_lock_timeout: null
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:200 shared_linking:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:205 type: rpath
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:211 bind: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:216 allow_sgid: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:221 terminal_title: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/config.yaml:225 binary_index_ttl: 600
_builtin debug: False
$ spack ${SPACK_EXTRA_FLAGS} config blame concretizer
--- concretizer:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139120/spack-config/concretizer.yaml:2 reuse: False
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:22 targets:
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:26 granularity: microarchitectures
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:30 host_compatible: True
/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/J1139118/spack/etc/spack/defaults/concretizer.yaml:36 unify: True
$ export XDG_CONFIG_HOME=${CI_BUILDS_DIR}/J${CI_JOB_ID}_local_config
$ echo "Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (${XDG_CONFIG_HOME})"
Configuring git to use CI_JOB_TOKEN to access git@bbpgitlab.epfl.ch (/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs//bbpcihpcproj12/P189495/J1139120_local_config)
$ mkdir -p "${XDG_CONFIG_HOME}/git"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = git@bbpgitlab.epfl.ch:" > "${XDG_CONFIG_HOME}/git/config"
$ echo -e "[url \"https://gitlab-ci-token:${CI_JOB_TOKEN}@bbpgitlab.epfl.ch/\"]\n insteadOf = ssh://git@bbpgitlab.epfl.ch/" >> "${XDG_CONFIG_HOME}/git/config"
$ cat "${XDG_CONFIG_HOME}/git/config"
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = git@bbpgitlab.epfl.ch:
[url "https://gitlab-ci-token:[MASKED]@bbpgitlab.epfl.ch/"]
insteadOf = ssh://git@bbpgitlab.epfl.ch/
$ SPACK_FULL_SPEC="${SPACK_PACKAGE}${SPACK_PACKAGE_COMPILER:+%}${SPACK_PACKAGE_COMPILER} ${SPACK_PACKAGE_SPEC} ${SPACK_PACKAGE_DEPENDENCIES} ${SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB}"
$ echo "Preparing to install ${SPACK_FULL_SPEC}"
Preparing to install nmodl%gcc ~legacy-unit
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ module load unstable ccache
$ export CCACHE_BASEDIR=$(realpath -P ${CI_BUILDS_DIR})
$ echo CCACHE_BASEDIR=${CCACHE_BASEDIR}
CCACHE_BASEDIR=/gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495
$ export CCACHE_MAXSIZE=512M
$ export CCACHE_DIR="${TMPDIR}/ccache"
$ mkdir -p ${CCACHE_DIR}
$ if [ -f ${CI_PROJECT_DIR}/ccache.tar ]; then
$ tar -C "${CCACHE_DIR}" -xf "${CI_PROJECT_DIR}/ccache.tar"
$ fi
$ ccache --zero-stats
Statistics zeroed
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994130/ccache
Primary config: /nvme/bbpcihpcproj12/1994130/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 18:52:46 2024
Hits: 0 / 0
Direct: 0 / 0
Preprocessed: 0 / 0
Misses: 0
Direct: 0
Preprocessed: 0
Primary storage:
Hits: 0 / 0
Misses: 0
Cache size (GB): 0.46 / 0.51 (90.77 %)
Files: 1401
$ fi
$ module load unstable git ${SPACK_EXTRA_MODULES}
$ spack ${SPACK_EXTRA_FLAGS} spec -Il ${SPACK_FULL_SPEC}
Input spec
--------------------------------
- nmodl%gcc~legacy-unit
Concretized
--------------------------------
- v6ovmht nmodl@develop%gcc@12.2.0~ipo~legacy-unit~llvm~llvm_cuda~python build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] sgwmsqz ^bison@3.8.2%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] 7v6k26x ^catch2@3.0.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] u27b4to ^cli11@2.1.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] vb7bdpg ^cmake@3.24.3%gcc@12.2.0~doc+ncurses+ownlibs~qt build_system=generic build_type=Release arch=linux-rhel7-skylake
[^] bbjadbi ^flex@2.6.3%gcc@12.2.0+lex~nls build_system=autotools arch=linux-rhel7-skylake
[^] t7yyhxk ^fmt@9.1.0%gcc@12.2.0~ipo+pic~shared build_system=cmake build_type=RelWithDebInfo cxxstd=11 patches=08fb707 arch=linux-rhel7-skylake
[^] zk4skox ^ninja@1.11.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ee7ugzv ^nlohmann-json@3.9.1%gcc@12.2.0~ipo+multiple_headers build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] 3s7iuck ^py-jinja2@3.1.2%gcc@12.2.0~i18n build_system=python_pip arch=linux-rhel7-skylake
[^] d4uhr4f ^py-markupsafe@2.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 3wgk4cb ^py-pip@22.2.2%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] c6hdpvj ^py-setuptools@63.0.0%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] ffmy45c ^py-wheel@0.37.1%gcc@12.2.0 build_system=generic arch=linux-rhel7-skylake
[^] pi3gdaj ^py-pybind11@2.10.1%gcc@12.2.0~ipo build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
[^] uucrzmi ^py-pytest@7.1.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] axib53z ^py-attrs@22.1.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] s5xlzyb ^py-iniconfig@1.1.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ugynn7h ^py-packaging@21.3%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 4ld7fki ^py-pyparsing@3.0.9%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] d7dkmvv ^py-flit-core@3.7.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 2zoh6fg ^py-pluggy@1.0.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] a53pqvu ^py-py@1.11.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] 6tqbi2i ^py-setuptools-scm@7.0.5%gcc@12.2.0+toml build_system=python_pip arch=linux-rhel7-skylake
[^] c564cvo ^py-typing-extensions@4.8.0%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] ksqdpfx ^py-tomli@2.0.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] d4xzk5t ^py-pyyaml@6.0%gcc@12.2.0+libyaml build_system=python_pip arch=linux-rhel7-skylake
[^] skgytub ^libyaml@0.2.5%gcc@12.2.0 build_system=autotools arch=linux-rhel7-skylake
[^] bjojgfi ^py-cython@0.29.32%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] oumzlg3 ^py-sympy@1.11.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] yqakowj ^py-mpmath@1.2.1%gcc@12.2.0 build_system=python_pip arch=linux-rhel7-skylake
[^] c2xj4m2 ^python@3.10.8%gcc@12.2.0+bz2+ctypes+dbm~debug+libxml2+lzma~nis~optimizations+pic+pyexpat+pythoncmd+readline+shared+sqlite3+ssl~tix~tkinter~ucs4+uuid+zlib build_system=generic patches=0d98e93,7d40923,f2fd060 arch=linux-rhel7-skylake
[^] xfi3vdr ^spdlog@1.10.0%gcc@12.2.0+fmt_external~ipo+shared build_system=cmake build_type=RelWithDebInfo arch=linux-rhel7-skylake
$ set -o pipefail
$ (spack ${SPACK_EXTRA_FLAGS} install --overwrite -y -j${SLURM_CPUS_PER_TASK} --log-format=junit --log-file=${CI_PROJECT_DIR}/install.xml --keep-stage ${SPACK_FULL_SPEC} | tee log) || install_failed=1
==> bison@3.8.2 : has external module in ['bison/3.8.2']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/bison-3.8.2-4q6ji4 (external bison-3.8.2-sgwmsqzphphzby2busuinegoak6lb3id)
==> cmake@3.24.3 : has external module in ['cmake/3.24.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/cmake-3.24.3-4blf3d (external cmake-3.24.3-vb7bdpgsupe5e2klkhkqos6vje2u4pns)
==> flex@2.6.3 : has external module in ['flex/2.6.3']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/flex-2.6.3-2jtkry (external flex-2.6.3-bbjadbirwaev3yr2guiy2u55ast426li)
==> ninja@1.11.1 : has external module in ['ninja/1.11.1']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ninja-1.11.1-o5qggc (external ninja-1.11.1-zk4skoxmkt7do2mk5lgnesas7ol3hhgg)
==> python@3.10.8 : has external module in ['python/3.10.8']
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/python-3.10.8-rvn6l5 (external python-3.10.8-c2xj4m2nyz6efq4m7arw3lneeqoz4z5m)
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/libyaml-0.2.5-skgytu
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/fmt-9.1.0-t7yyhx
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/catch2-3.0.1-7v6k26
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/cli11-2.1.1-u27b4t
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/nlohmann-json-3.9.1-ee7ugz
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pip-22.2.2-3wgk4c
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/spdlog-1.10.0-xfi3vd
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-63.0.0-c6hdpv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-wheel-0.37.1-ffmy45
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-markupsafe-2.1.1-d4uhr4
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-attrs-22.1.0-axib53
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pybind11-2.10.1-pi3gda
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-flit-core-3.7.1-d7dkmv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-iniconfig-1.1.1-s5xlzy
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-tomli-2.0.1-ksqdpf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-cython-0.29.32-bjojgf
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-jinja2-3.1.2-3s7iuc
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyparsing-3.0.9-4ld7fk
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-typing-extensions-4.8.0-c564cv
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pyyaml-6.0-d4xzk5
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-packaging-21.3-ugynn7
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-setuptools-scm-7.0.5-6tqbi2
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-mpmath-1.2.1-yqakow
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pluggy-1.0.0-2zoh6f
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-py-1.11.0-a53pqv
==> Warning: Expected user 904556 to own /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495, but it is owned by 0
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-sympy-1.11.1-oumzlg
[+] /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_applications/install_gcc-12.2.0-skylake/py-pytest-7.1.3-uucrzm
==> Installing nmodl-develop-v6ovmhtqx3wstiiamn5f73nzoourfjwu
==> No binary for nmodl-develop-v6ovmhtqx3wstiiamn5f73nzoourfjwu found: installing from source
==> No patches needed for nmodl
==> nmodl: Executing phase: 'cmake'
==> nmodl: Executing phase: 'build'
==> nmodl: Executing phase: 'install'
==> nmodl: Successfully installed nmodl-develop-v6ovmhtqx3wstiiamn5f73nzoourfjwu
Fetch: 54.42s. Build: 2m 3.64s. Total: 2m 58.06s.
[+] /gpfs/bbp.cscs.ch/ssd/gitlab_map_jobs/bbpcihpcproj12/P189495/software/install_gcc-12.2.0-skylake/nmodl-develop-v6ovmh
$ set +o pipefail
$ chmod -R g+rX "${SPACK_BUILD}"
$ if [[ ${install_failed} == 1 ]]; then exit 1; fi
$ SPACK_INSTALLED_HASH=$(spack ${SPACK_EXTRA_FLAGS} find --format "{hash}" /$(sed -ne '${s/.*-//;p}' log))
$ echo "Determined the installed hash to be ${SPACK_INSTALLED_HASH}"
Determined the installed hash to be v6ovmhtqx3wstiiamn5f73nzoourfjwu
$ SPACK_STAGE_DIR=$(spack location -s "${SPACK_FULL_SPEC}")
$ SPACK_BUILD_DIR=$(spack location -b "${SPACK_FULL_SPEC}")
$ SPACK_SOURCE_DIR=${SPACK_STAGE_DIR}/spack-src
$ if [ ${SPACK_USE_CCACHE+x} ]; then
$ ccache --cleanup
$ ccache --show-stats --verbose
Summary:
Cache directory: /nvme/bbpcihpcproj12/1994130/ccache
Primary config: /nvme/bbpcihpcproj12/1994130/ccache/ccache.conf
Secondary config: /gpfs/bbp.cscs.ch/ssd/apps/bsd/2023-02-23/stage_externals/install_gcc-12.2.0-skylake/ccache-4.6.1-q2jyor/etc/ccache.conf
Stats updated: Tue Jan 30 18:59:14 2024
Hits: 133 / 135 (98.52 %)
Direct: 27 / 135 (20.00 %)
Preprocessed: 106 / 108 (98.15 %)
Misses: 2
Direct: 108
Preprocessed: 2
Uncacheable: 25
Primary storage:
Hits: 160 / 270 (59.26 %)
Misses: 110
Cache size (GB): 0.46 / 0.51 (90.79 %)
Files: 1405
Uncacheable:
Called for linking: 24
No input file: 1
$ tar -C "${CCACHE_DIR}" -cf "${CI_PROJECT_DIR}/ccache.tar" .
$ fi
$ touch ${SPACK_STAGE_DIR}/spack-configure-args.txt
$ cp ${SPACK_STAGE_DIR}/spack-{build-env,build-out,configure-args}.txt ${CI_PROJECT_DIR}/
$ echo "SPACK_BUILD_DIR=${SPACK_BUILD_DIR}" > ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_FULL_SPEC=${SPACK_FULL_SPEC}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_SOURCE_DIR=${SPACK_SOURCE_DIR}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ SPACK_PACKAGE_SLUGIFY=$(echo -n ${SPACK_PACKAGE} | tr -c '[:alnum:]' '_' | tr '[:lower:]' '[:upper:]')
$ echo "${SPACK_PACKAGE_SLUGIFY}_INSTALLED_HASH=${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ echo "SPACK_PACKAGE_DEPENDENCY_ON_PREVIOUS_JOB=^/${SPACK_INSTALLED_HASH}" >> ${CI_PROJECT_DIR}/spack_build_info.env
$ num_failures=$(module load unstable python-dev; python -c "from lxml import etree; xml = etree.parse('${CI_PROJECT_DIR}/install.xml'); print(sum(1 for _ in xml.getroot().iter('failure')) + sum(1 for _ in xml.getroot().iter('error')))")
Autoloading python/3.10.8
Autoloading intel-oneapi-mkl/2021.4.0
Autoloading hpe-mpi/2.27.p1.hmpt
$ if [[ ${num_failures} > 0 ]]; then exit ${num_failures}; fi
section_end:1706637555:step_script section_start:1706637555:archive_cache Saving cache for successful job
Using git from spack modules
Creating cache build:nmodl-1-non_protected...
Runtime platform  arch=amd64 os=linux pid=2856 revision=58ba2b95 version=14.2.0
ccache.tar: found 1 matching files and directories
Uploading cache.zip to https://bbpobjectstorage.epfl.ch/gitlab-runners-cache/project/2160/build%3Anmodl-1-non_protected
Created cache
section_end:1706637575:archive_cache section_start:1706637575:upload_artifacts_on_success Uploading artifacts for successful job
Using git from spack modules
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=3042 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
initial_environment.env: found 1 matching files and directories
spack-build-env.txt: found 1 matching files and directories
spack-build-out.txt: found 1 matching files and directories
spack-configure-args.txt: found 1 matching files and directories
Uploading artifacts as "archive" to coordinator... ok id=1139120 responseStatus=201 Created token=64_qRyWo
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=3102 revision=58ba2b95 version=14.2.0
install.xml: found 1 matching files and directories
Uploading artifacts as "junit" to coordinator... ok id=1139120 responseStatus=201 Created token=64_qRyWo
Uploading artifacts...
Runtime platform  arch=amd64 os=linux pid=3162 revision=58ba2b95 version=14.2.0
spack_build_info.env: found 1 matching files and directories
spack_clone_variables.env: found 1 matching files and directories
Uploading artifacts as "dotenv" to coordinator... ok id=1139120 responseStatus=201 Created token=64_qRyWo
section_end:1706637577:upload_artifacts_on_success section_start:1706637577:cleanup_file_variables Cleaning up project directory and file based variables
Using git from spack modules
section_end:1706637578:cleanup_file_variables Job succeeded
This file has been truncated, but you can view the full file.
Running with gitlab-runner 15.4.0 (43b2dc3d)
 on macos-arm64 vGzz-bdp
section_start:1706637029:resolve_secrets Resolving secrets
section_end:1706637029:resolve_secrets section_start:1706637029:prepare_executor Preparing the "shell" executor
Using Shell executor...
section_end:1706637029:prepare_executor section_start:1706637029:prepare_script Preparing environment
Running on bbpmacglr01.bbp.epfl.ch...
section_end:1706637029:prepare_script section_start:1706637029:get_sources Getting source from Git repository
Fetching changes with git depth set to 20...
Initialized empty Git repository in /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/.git/
Created fresh repository.
Checking out deb29fb3 as api-hl...
Skipping Git submodules setup
section_end:1706637031:get_sources section_start:1706637031:restore_cache Restoring cache
Checking cache for mac_m1_cmake_build: [cmake, ON, OFF, OFF, address]-api-hl-1-non_protected...
Runtime platform  arch=arm64 os=darwin pid=59342 revision=43b2dc3d version=15.4.0
No URL provided, cache will not be downloaded from shared cache server. Instead a local version of cache will be extracted.
Successfully extracted cache
section_end:1706637034:restore_cache section_start:1706637034:step_script Executing "step_script" stage of the job script
$ cat > resolve_shim.py << END_SCRIPT # collapsed multi-line command
$ real_python=$(python3 resolve_shim.py)
$ echo "python3=$(command -v python3) is really ${real_python}"
python3=/opt/homebrew/bin/python3 is really /opt/homebrew/Cellar/python@3.10/3.10.13_1/Frameworks/Python.framework/Versions/3.10/Resources/Python.app/Contents/MacOS/Python
$ PYTHONEXECUTABLE=${real_python} ${real_python} -mvenv venv
$ venv/bin/python3 -m ensurepip --upgrade --default-pip
Looking in links: /var/folders/dm/mrnbn5ld56v61w1_hpbmskfw0000gp/T/tmpyr0nv_y0
Processing /private/var/folders/dm/mrnbn5ld56v61w1_hpbmskfw0000gp/T/tmpyr0nv_y0/setuptools-68.2.2-py3-none-any.whl
Processing /private/var/folders/dm/mrnbn5ld56v61w1_hpbmskfw0000gp/T/tmpyr0nv_y0/pip-23.3.1-py3-none-any.whl
Installing collected packages: setuptools, pip
Successfully installed pip-23.3.1 setuptools-68.2.2
$ venv/bin/pip install --upgrade pip -r nrn_requirements.txt
Requirement already satisfied: pip in ./venv/lib/python3.10/site-packages (23.3.1)
Collecting pip
Using cached pip-23.3.2-py3-none-any.whl.metadata (3.5 kB)
Collecting wheel (from -r nrn_requirements.txt (line 1))
Using cached wheel-0.42.0-py3-none-any.whl.metadata (2.2 kB)
Requirement already satisfied: setuptools in ./venv/lib/python3.10/site-packages (from -r nrn_requirements.txt (line 2)) (68.2.2)
Collecting setuptools (from -r nrn_requirements.txt (line 2))
Using cached setuptools-69.0.3-py3-none-any.whl.metadata (6.3 kB)
Collecting setuptools_scm (from -r nrn_requirements.txt (line 3))
Using cached setuptools_scm-8.0.4-py3-none-any.whl.metadata (6.4 kB)
Collecting scikit-build (from -r nrn_requirements.txt (line 4))
Using cached scikit_build-0.17.6-py3-none-any.whl.metadata (14 kB)
Collecting matplotlib (from -r nrn_requirements.txt (line 5))
Using cached matplotlib-3.8.2-cp310-cp310-macosx_11_0_arm64.whl.metadata (5.8 kB)
Collecting bokeh<3 (from -r nrn_requirements.txt (line 7))
Using cached bokeh-2.4.3-py3-none-any.whl (18.5 MB)
Collecting ipython (from -r nrn_requirements.txt (line 8))
Using cached ipython-8.20.0-py3-none-any.whl.metadata (5.9 kB)
Collecting cython<3 (from -r nrn_requirements.txt (line 9))
Using cached Cython-0.29.37-py2.py3-none-any.whl.metadata (3.1 kB)
Collecting packaging (from -r nrn_requirements.txt (line 10))
Using cached packaging-23.2-py3-none-any.whl.metadata (3.2 kB)
Collecting pytest (from -r nrn_requirements.txt (line 11))
Using cached pytest-8.0.0-py3-none-any.whl.metadata (7.8 kB)
Collecting pytest-cov (from -r nrn_requirements.txt (line 12))
Using cached pytest_cov-4.1.0-py3-none-any.whl.metadata (26 kB)
Collecting mpi4py (from -r nrn_requirements.txt (line 13))
Using cached mpi4py-3.1.5-cp310-cp310-macosx_12_0_arm64.whl
Collecting numpy (from -r nrn_requirements.txt (line 14))
Using cached numpy-1.26.3-cp310-cp310-macosx_11_0_arm64.whl.metadata (61 kB)
Collecting find_libpython (from -r nrn_requirements.txt (line 15))
Using cached find_libpython-0.3.1-py3-none-any.whl.metadata (2.7 kB)
Collecting typing-extensions (from setuptools_scm->-r nrn_requirements.txt (line 3))
Using cached typing_extensions-4.9.0-py3-none-any.whl.metadata (3.0 kB)
Collecting tomli>=1 (from setuptools_scm->-r nrn_requirements.txt (line 3))
Using cached tomli-2.0.1-py3-none-any.whl (12 kB)
Collecting distro (from scikit-build->-r nrn_requirements.txt (line 4))
Using cached distro-1.9.0-py3-none-any.whl.metadata (6.8 kB)
Collecting contourpy>=1.0.1 (from matplotlib->-r nrn_requirements.txt (line 5))
Using cached contourpy-1.2.0-cp310-cp310-macosx_11_0_arm64.whl.metadata (5.8 kB)
Collecting cycler>=0.10 (from matplotlib->-r nrn_requirements.txt (line 5))
Using cached cycler-0.12.1-py3-none-any.whl.metadata (3.8 kB)
Collecting fonttools>=4.22.0 (from matplotlib->-r nrn_requirements.txt (line 5))
Using cached fonttools-4.47.2-cp310-cp310-macosx_10_9_universal2.whl.metadata (157 kB)
Collecting kiwisolver>=1.3.1 (from matplotlib->-r nrn_requirements.txt (line 5))
Using cached kiwisolver-1.4.5-cp310-cp310-macosx_11_0_arm64.whl.metadata (6.4 kB)
Collecting pillow>=8 (from matplotlib->-r nrn_requirements.txt (line 5))
Using cached pillow-10.2.0-cp310-cp310-macosx_11_0_arm64.whl.metadata (9.7 kB)
Collecting pyparsing>=2.3.1 (from matplotlib->-r nrn_requirements.txt (line 5))
Using cached pyparsing-3.1.1-py3-none-any.whl.metadata (5.1 kB)
Collecting python-dateutil>=2.7 (from matplotlib->-r nrn_requirements.txt (line 5))
Using cached python_dateutil-2.8.2-py2.py3-none-any.whl (247 kB)
Collecting Jinja2>=2.9 (from bokeh<3->-r nrn_requirements.txt (line 7))
Using cached Jinja2-3.1.3-py3-none-any.whl.metadata (3.3 kB)
Collecting PyYAML>=3.10 (from bokeh<3->-r nrn_requirements.txt (line 7))
Using cached PyYAML-6.0.1-cp310-cp310-macosx_11_0_arm64.whl.metadata (2.1 kB)
Collecting tornado>=5.1 (from bokeh<3->-r nrn_requirements.txt (line 7))
Using cached tornado-6.4-cp38-abi3-macosx_10_9_universal2.whl.metadata (2.5 kB)
Collecting decorator (from ipython->-r nrn_requirements.txt (line 8))
Using cached decorator-5.1.1-py3-none-any.whl (9.1 kB)
Collecting jedi>=0.16 (from ipython->-r nrn_requirements.txt (line 8))
Using cached jedi-0.19.1-py2.py3-none-any.whl.metadata (22 kB)
Collecting matplotlib-inline (from ipython->-r nrn_requirements.txt (line 8))
Using cached matplotlib_inline-0.1.6-py3-none-any.whl (9.4 kB)
Collecting prompt-toolkit<3.1.0,>=3.0.41 (from ipython->-r nrn_requirements.txt (line 8))
Using cached prompt_toolkit-3.0.43-py3-none-any.whl.metadata (6.5 kB)
Collecting pygments>=2.4.0 (from ipython->-r nrn_requirements.txt (line 8))
Using cached pygments-2.17.2-py3-none-any.whl.metadata (2.6 kB)
Collecting stack-data (from ipython->-r nrn_requirements.txt (line 8))
Using cached stack_data-0.6.3-py3-none-any.whl.metadata (18 kB)
Collecting traitlets>=5 (from ipython->-r nrn_requirements.txt (line 8))
Using cached traitlets-5.14.1-py3-none-any.whl.metadata (10 kB)
Collecting exceptiongroup (from ipython->-r nrn_requirements.txt (line 8))
Using cached exceptiongroup-1.2.0-py3-none-any.whl.metadata (6.6 kB)
Collecting pexpect>4.3 (from ipython->-r nrn_requirements.txt (line 8))
Using cached pexpect-4.9.0-py2.py3-none-any.whl.metadata (2.5 kB)
Collecting iniconfig (from pytest->-r nrn_requirements.txt (line 11))
Using cached iniconfig-2.0.0-py3-none-any.whl (5.9 kB)
Collecting pluggy<2.0,>=1.3.0 (from pytest->-r nrn_requirements.txt (line 11))
Using cached pluggy-1.4.0-py3-none-any.whl.metadata (4.3 kB)
Collecting coverage>=5.2.1 (from coverage[toml]>=5.2.1->pytest-cov->-r nrn_requirements.txt (line 12))
Using cached coverage-7.4.1-cp310-cp310-macosx_11_0_arm64.whl.metadata (8.2 kB)
Collecting parso<0.9.0,>=0.8.3 (from jedi>=0.16->ipython->-r nrn_requirements.txt (line 8))
Using cached parso-0.8.3-py2.py3-none-any.whl (100 kB)
Collecting MarkupSafe>=2.0 (from Jinja2>=2.9->bokeh<3->-r nrn_requirements.txt (line 7))
Using cached MarkupSafe-2.1.4-cp310-cp310-macosx_10_9_universal2.whl.metadata (3.0 kB)
Collecting ptyprocess>=0.5 (from pexpect>4.3->ipython->-r nrn_requirements.txt (line 8))
Using cached ptyprocess-0.7.0-py2.py3-none-any.whl (13 kB)
Collecting wcwidth (from prompt-toolkit<3.1.0,>=3.0.41->ipython->-r nrn_requirements.txt (line 8))
Using cached wcwidth-0.2.13-py2.py3-none-any.whl.metadata (14 kB)
Collecting six>=1.5 (from python-dateutil>=2.7->matplotlib->-r nrn_requirements.txt (line 5))
Using cached six-1.16.0-py2.py3-none-any.whl (11 kB)
Collecting executing>=1.2.0 (from stack-data->ipython->-r nrn_requirements.txt (line 8))
Using cached executing-2.0.1-py2.py3-none-any.whl.metadata (9.0 kB)
Collecting asttokens>=2.1.0 (from stack-data->ipython->-r nrn_requirements.txt (line 8))
Using cached asttokens-2.4.1-py2.py3-none-any.whl.metadata (5.2 kB)
Collecting pure-eval (from stack-data->ipython->-r nrn_requirements.txt (line 8))
Using cached pure_eval-0.2.2-py3-none-any.whl (11 kB)
Using cached pip-23.3.2-py3-none-any.whl (2.1 MB)
Using cached wheel-0.42.0-py3-none-any.whl (65 kB)
Using cached setuptools-69.0.3-py3-none-any.whl (819 kB)
Using cached setuptools_scm-8.0.4-py3-none-any.whl (42 kB)
Using cached scikit_build-0.17.6-py3-none-any.whl (84 kB)
Using cached matplotlib-3.8.2-cp310-cp310-macosx_11_0_arm64.whl (7.5 MB)
Using cached ipython-8.20.0-py3-none-any.whl (809 kB)
Using cached Cython-0.29.37-py2.py3-none-any.whl (989 kB)
Using cached packaging-23.2-py3-none-any.whl (53 kB)
Using cached pytest-8.0.0-py3-none-any.whl (334 kB)
Using cached pytest_cov-4.1.0-py3-none-any.whl (21 kB)
Using cached numpy-1.26.3-cp310-cp310-macosx_11_0_arm64.whl (14.0 MB)
Using cached find_libpython-0.3.1-py3-none-any.whl (8.4 kB)
Using cached contourpy-1.2.0-cp310-cp310-macosx_11_0_arm64.whl (242 kB)
Using cached coverage-7.4.1-cp310-cp310-macosx_11_0_arm64.whl (206 kB)
Using cached cycler-0.12.1-py3-none-any.whl (8.3 kB)
Using cached exceptiongroup-1.2.0-py3-none-any.whl (16 kB)
Using cached fonttools-4.47.2-cp310-cp310-macosx_10_9_universal2.whl (2.8 MB)
Using cached jedi-0.19.1-py2.py3-none-any.whl (1.6 MB)
Using cached Jinja2-3.1.3-py3-none-any.whl (133 kB)
Using cached kiwisolver-1.4.5-cp310-cp310-macosx_11_0_arm64.whl (66 kB)
Using cached pexpect-4.9.0-py2.py3-none-any.whl (63 kB)
Using cached pillow-10.2.0-cp310-cp310-macosx_11_0_arm64.whl (3.3 MB)
Using cached pluggy-1.4.0-py3-none-any.whl (20 kB)
Using cached prompt_toolkit-3.0.43-py3-none-any.whl (386 kB)
Using cached pygments-2.17.2-py3-none-any.whl (1.2 MB)
Using cached pyparsing-3.1.1-py3-none-any.whl (103 kB)
Using cached PyYAML-6.0.1-cp310-cp310-macosx_11_0_arm64.whl (169 kB)
Using cached tornado-6.4-cp38-abi3-macosx_10_9_universal2.whl (433 kB)
Using cached traitlets-5.14.1-py3-none-any.whl (85 kB)
Using cached typing_extensions-4.9.0-py3-none-any.whl (32 kB)
Using cached distro-1.9.0-py3-none-any.whl (20 kB)
Using cached stack_data-0.6.3-py3-none-any.whl (24 kB)
Using cached asttokens-2.4.1-py2.py3-none-any.whl (27 kB)
Using cached executing-2.0.1-py2.py3-none-any.whl (24 kB)
Using cached MarkupSafe-2.1.4-cp310-cp310-macosx_10_9_universal2.whl (17 kB)
Using cached wcwidth-0.2.13-py2.py3-none-any.whl (34 kB)
Installing collected packages: wcwidth, pure-eval, ptyprocess, find_libpython, wheel, typing-extensions, traitlets, tornado, tomli, six, setuptools, PyYAML, pyparsing, pygments, prompt-toolkit, pluggy, pip, pillow, pexpect, parso, packaging, numpy, mpi4py, MarkupSafe, kiwisolver, iniconfig, fonttools, executing, exceptiongroup, distro, decorator, cython, cycler, coverage, setuptools_scm, scikit-build, python-dateutil, pytest, matplotlib-inline, Jinja2, jedi, contourpy, asttokens, stack-data, pytest-cov, matplotlib, bokeh, ipython
Attempting uninstall: setuptools
Found existing installation: setuptools 68.2.2
Uninstalling setuptools-68.2.2:
Successfully uninstalled setuptools-68.2.2
Attempting uninstall: pip
Found existing installation: pip 23.3.1
Uninstalling pip-23.3.1:
Successfully uninstalled pip-23.3.1
Successfully installed Jinja2-3.1.3 MarkupSafe-2.1.4 PyYAML-6.0.1 asttokens-2.4.1 bokeh-2.4.3 contourpy-1.2.0 coverage-7.4.1 cycler-0.12.1 cython-0.29.37 decorator-5.1.1 distro-1.9.0 exceptiongroup-1.2.0 executing-2.0.1 find_libpython-0.3.1 fonttools-4.47.2 iniconfig-2.0.0 ipython-8.20.0 jedi-0.19.1 kiwisolver-1.4.5 matplotlib-3.8.2 matplotlib-inline-0.1.6 mpi4py-3.1.5 numpy-1.26.3 packaging-23.2 parso-0.8.3 pexpect-4.9.0 pillow-10.2.0 pip-23.3.2 pluggy-1.4.0 prompt-toolkit-3.0.43 ptyprocess-0.7.0 pure-eval-0.2.2 pygments-2.17.2 pyparsing-3.1.1 pytest-8.0.0 pytest-cov-4.1.0 python-dateutil-2.8.2 scikit-build-0.17.6 setuptools-69.0.3 setuptools_scm-8.0.4 six-1.16.0 stack-data-0.6.3 tomli-2.0.1 tornado-6.4 traitlets-5.14.1 typing-extensions-4.9.0 wcwidth-0.2.13 wheel-0.42.0
$ git submodule update --init --recursive --force --depth 1 -- external/nmodl
Submodule 'external/nmodl' (https://github.com/BlueBrain/nmodl) registered for path 'external/nmodl'
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/nmodl'...
From https://github.com/BlueBrain/nmodl
* branch bb4dfd2fbc5209bb1893d3c681157db743ca1dfc -> FETCH_HEAD
Submodule path 'external/nmodl': checked out 'bb4dfd2fbc5209bb1893d3c681157db743ca1dfc'
Submodule 'cmake/hpc-coding-conventions' (https://github.com/BlueBrain/hpc-coding-conventions.git) registered for path 'external/nmodl/cmake/hpc-coding-conventions'
Submodule 'ext/catch2' (https://github.com/catchorg/Catch2.git) registered for path 'external/nmodl/ext/catch2'
Submodule 'ext/cli11' (https://github.com/CLIUtils/CLI11.git) registered for path 'external/nmodl/ext/cli11'
Submodule 'ext/eigen' (https://gitlab.com/libeigen/eigen.git) registered for path 'external/nmodl/ext/eigen'
Submodule 'ext/fmt' (https://github.com/fmtlib/fmt.git) registered for path 'external/nmodl/ext/fmt'
Submodule 'ext/json' (https://github.com/nlohmann/json.git) registered for path 'external/nmodl/ext/json'
Submodule 'ext/pybind11' (https://github.com/pybind/pybind11.git) registered for path 'external/nmodl/ext/pybind11'
Submodule 'ext/spdlog' (https://github.com/gabime/spdlog) registered for path 'external/nmodl/ext/spdlog'
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/nmodl/cmake/hpc-coding-conventions'...
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/nmodl/ext/catch2'...
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/nmodl/ext/cli11'...
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/nmodl/ext/eigen'...
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/nmodl/ext/fmt'...
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/nmodl/ext/json'...
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/nmodl/ext/pybind11'...
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/nmodl/ext/spdlog'...
From https://github.com/BlueBrain/hpc-coding-conventions
* branch f8f8d69a66c23978d1c9c5dce62de79466f26e5d -> FETCH_HEAD
Submodule path 'external/nmodl/cmake/hpc-coding-conventions': checked out 'f8f8d69a66c23978d1c9c5dce62de79466f26e5d'
From https://github.com/catchorg/Catch2
* branch 3f0283de7a9c43200033da996ff9093be3ac84dc -> FETCH_HEAD
Submodule path 'external/nmodl/ext/catch2': checked out '3f0283de7a9c43200033da996ff9093be3ac84dc'
From https://github.com/CLIUtils/CLI11
* branch b9be5b9444772324459989177108a6a65b8b2769 -> FETCH_HEAD
Submodule path 'external/nmodl/ext/cli11': checked out 'b9be5b9444772324459989177108a6a65b8b2769'
From https://gitlab.com/libeigen/eigen
* branch 3147391d946bb4b6c68edd901f2add6ac1f31f8c -> FETCH_HEAD
Submodule path 'external/nmodl/ext/eigen': checked out '3147391d946bb4b6c68edd901f2add6ac1f31f8c'
From https://github.com/fmtlib/fmt
* branch e69e5f977d458f2650bb346dadf2ad30c5320281 -> FETCH_HEAD
Submodule path 'external/nmodl/ext/fmt': checked out 'e69e5f977d458f2650bb346dadf2ad30c5320281'
From https://github.com/nlohmann/json
* branch 4f8fba14066156b73f1189a2b8bd568bde5284c5 -> FETCH_HEAD
Submodule path 'external/nmodl/ext/json': checked out '4f8fba14066156b73f1189a2b8bd568bde5284c5'
From https://github.com/pybind/pybind11
* branch 914c06fb252b6cc3727d0eedab6736e88a3fcb01 -> FETCH_HEAD
Submodule path 'external/nmodl/ext/pybind11': checked out '914c06fb252b6cc3727d0eedab6736e88a3fcb01'
From https://github.com/gabime/spdlog
* branch 7e635fca68d014934b4af8a1cf874f63989352b7 -> FETCH_HEAD
Submodule path 'external/nmodl/ext/spdlog': checked out '7e635fca68d014934b4af8a1cf874f63989352b7'
$ venv/bin/pip install --upgrade -r external/nmodl/requirements.txt
Requirement already satisfied: Jinja2>=2.9.3 in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 1)) (3.1.3)
Requirement already satisfied: PyYAML>=3.13 in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 2)) (6.0.1)
Requirement already satisfied: pytest in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 3)) (8.0.0)
Requirement already satisfied: pytest-cov in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 4)) (4.1.0)
Collecting sympy (from -r external/nmodl/requirements.txt (line 5))
Using cached sympy-1.12-py3-none-any.whl (5.7 MB)
Requirement already satisfied: numpy in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 6)) (1.26.3)
Requirement already satisfied: find_libpython in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 7)) (0.3.1)
Requirement already satisfied: scikit-build in ./venv/lib/python3.10/site-packages (from -r external/nmodl/requirements.txt (line 8)) (0.17.6)
Requirement already satisfied: MarkupSafe>=2.0 in ./venv/lib/python3.10/site-packages (from Jinja2>=2.9.3->-r external/nmodl/requirements.txt (line 1)) (2.1.4)
Requirement already satisfied: iniconfig in ./venv/lib/python3.10/site-packages (from pytest->-r external/nmodl/requirements.txt (line 3)) (2.0.0)
Requirement already satisfied: packaging in ./venv/lib/python3.10/site-packages (from pytest->-r external/nmodl/requirements.txt (line 3)) (23.2)
Requirement already satisfied: pluggy<2.0,>=1.3.0 in ./venv/lib/python3.10/site-packages (from pytest->-r external/nmodl/requirements.txt (line 3)) (1.4.0)
Requirement already satisfied: exceptiongroup>=1.0.0rc8 in ./venv/lib/python3.10/site-packages (from pytest->-r external/nmodl/requirements.txt (line 3)) (1.2.0)
Requirement already satisfied: tomli>=1.0.0 in ./venv/lib/python3.10/site-packages (from pytest->-r external/nmodl/requirements.txt (line 3)) (2.0.1)
Requirement already satisfied: coverage>=5.2.1 in ./venv/lib/python3.10/site-packages (from coverage[toml]>=5.2.1->pytest-cov->-r external/nmodl/requirements.txt (line 4)) (7.4.1)
Collecting mpmath>=0.19 (from sympy->-r external/nmodl/requirements.txt (line 5))
Using cached mpmath-1.3.0-py3-none-any.whl (536 kB)
Requirement already satisfied: distro in ./venv/lib/python3.10/site-packages (from scikit-build->-r external/nmodl/requirements.txt (line 8)) (1.9.0)
Requirement already satisfied: setuptools>=42.0.0 in ./venv/lib/python3.10/site-packages (from scikit-build->-r external/nmodl/requirements.txt (line 8)) (69.0.3)
Requirement already satisfied: wheel>=0.32.0 in ./venv/lib/python3.10/site-packages (from scikit-build->-r external/nmodl/requirements.txt (line 8)) (0.42.0)
Installing collected packages: mpmath, sympy
Successfully installed mpmath-1.3.0 sympy-1.12
$ source ./venv/bin/activate
$ export PYTHON=${PWD}/venv/bin/python
$ ${PYTHON} --version
Python 3.10.13
$ ${PYTHON} -c "import os,matplotlib; f = open(os.path.join(os.path.dirname(matplotlib.__file__), \"mpl-data/matplotlibrc\"),\"a\"); f.write(\"backend: TkAgg\");f.close();"
$ export CXX=${CXX:-g++}
$ export CC=${CC:-gcc}
$ brew install flex bison
==> Homebrew collects anonymous analytics.
Read the analytics documentation (and how to opt-out) here:
https://docs.brew.sh/Analytics
No analytics have been recorded yet (nor will be during this `brew` run).
Running `brew update --auto-update`...
Warning: flex 2.6.4_2 is already installed and up-to-date.
To reinstall 2.6.4_2, run:
brew reinstall flex
Warning: bison 3.8.2 is already installed and up-to-date.
To reinstall 3.8.2, run:
brew reinstall bison
$ export PATH="/opt/homebrew/opt/flex/bin:/opt/homebrew/opt/bison/bin:$PATH"
$ export INSTALL_DIR=$(pwd)/install
$ echo $LANG
$ echo $LC_ALL
$ export PYTHONPATH=$(${PYTHON} -c "import site; print(\":\".join(site.getsitepackages()))")
$ ${PYTHON} -c 'import os,sys; os.set_blocking(sys.stdout.fileno(), True)'
$ cmake_args=(-G Ninja)
$ if [[ -n "${sanitizer}" ]]; then
$ cmake_args+=(-DCMAKE_BUILD_TYPE=Custom -DCMAKE_C_FLAGS="-O1 -g" -DCMAKE_CXX_FLAGS="-O1 -g" -DNRN_SANITIZERS=$(echo ${sanitizer} | sed -e 's/-/,/g'))
$ fi
$ cmake_args+=(-DCMAKE_C_COMPILER="${CC}" -DCMAKE_C_COMPILER_LAUNCHER=ccache -DCMAKE_CXX_COMPILER="${CXX}" -DCMAKE_CXX_COMPILER_LAUNCHER=ccache -DCMAKE_INSTALL_PREFIX="${INSTALL_DIR}" -DNRN_ENABLE_TESTS=ON -DNRN_ENABLE_PERFORMANCE_TESTS=OFF -DNRN_ENABLE_CORENEURON=${cmake_coreneuron} -DNRN_ENABLE_INTERVIEWS=${cmake_interviews} -DNRN_ENABLE_RX3D=${cmake_rx3d})
$ cmake_args+=(-DPYTHON_EXECUTABLE="${PYTHON}")
$ mkdir build && cd build
$ echo "Building with ${cmake_args[@]}"
Building with -G Ninja -DCMAKE_BUILD_TYPE=Custom -DCMAKE_C_FLAGS=-O1 -g -DCMAKE_CXX_FLAGS=-O1 -g -DNRN_SANITIZERS=address -DCMAKE_C_COMPILER=gcc -DCMAKE_C_COMPILER_LAUNCHER=ccache -DCMAKE_CXX_COMPILER=g++ -DCMAKE_CXX_COMPILER_LAUNCHER=ccache -DCMAKE_INSTALL_PREFIX=/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install -DNRN_ENABLE_TESTS=ON -DNRN_ENABLE_PERFORMANCE_TESTS=OFF -DNRN_ENABLE_CORENEURON=ON -DNRN_ENABLE_INTERVIEWS=OFF -DNRN_ENABLE_RX3D=OFF -DPYTHON_EXECUTABLE=/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/venv/bin/python
$ cmake .. "${cmake_args[@]}"
-- The C compiler identification is AppleClang 14.0.0.14000029
-- The CXX compiler identification is AppleClang 14.0.0.14000029
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Check for working C compiler: /usr/bin/gcc - skipped
-- Detecting C compile features
-- Detecting C compile features - done
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Check for working CXX compiler: /usr/bin/g++ - skipped
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- git describe failed (stdout: '', stderr: 'fatal: No names found, cannot describe anything.', code: 128), skipping the version check
-- Sub-module : missing external/coding-conventions : running git submodule update --init
Submodule 'external/coding-conventions' (https://github.com/BlueBrain/hpc-coding-conventions.git) registered for path 'external/coding-conventions'
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/coding-conventions'...
Submodule path 'external/coding-conventions': checked out '80a2c9013463b89b5c426e18403e9a2f87c59a00'
-- Fetching git submodule external/Random123: running git submodule update --init;--recursive;--depth;1 -- external/Random123
Submodule 'external/Random123' (https://github.com/BlueBrain/Random123.git) registered for path 'external/Random123'
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/Random123'...
From https://github.com/BlueBrain/Random123
* branch b5cb15614e8f3eb0ff316bdc50c300ddd32fa3c1 -> FETCH_HEAD
Submodule path 'external/Random123': checked out 'b5cb15614e8f3eb0ff316bdc50c300ddd32fa3c1'
-- 3rd party project: using Random123 from "external/Random123"
-- Fetching git submodule external/eigen: running git submodule update --init;--recursive;--depth;1 -- external/eigen
Submodule 'external/eigen' (https://gitlab.com/libeigen/eigen.git) registered for path 'external/eigen'
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/eigen'...
From https://gitlab.com/libeigen/eigen
* branch 3147391d946bb4b6c68edd901f2add6ac1f31f8c -> FETCH_HEAD
Submodule path 'external/eigen': checked out '3147391d946bb4b6c68edd901f2add6ac1f31f8c'
-- 3rd party project: using eigen from "external/eigen"
-- Enabling sanitizers: address
-- Sanitizer runtime library: /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin/libclang_rt.asan_osx_dynamic.dylib
-- Checking if /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/venv/bin/python is a working python
-- Found BISON: /opt/homebrew/opt/bison/bin/bison (found version "3.8.2")
-- Found FLEX: /opt/homebrew/opt/flex/bin/flex (found suitable version "2.6.4", minimum required is "2.6")
-- Found Readline: /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/include
-- Found MPI_C: /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib (found version "3.1")
-- Found MPI_CXX: /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib (found version "3.1")
-- Found MPI: TRUE (found version "3.1")
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success
-- Found Threads: TRUE
-- Fetching git submodule external/CLI11: running git submodule update --init;--recursive;--depth;1 -- external/CLI11
Submodule 'external/CLI11' (https://github.com/CLIUtils/CLI11.git) registered for path 'external/CLI11'
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/CLI11'...
From https://github.com/CLIUtils/CLI11
* branch 291c58789c031208f08f4f261a858b5b7083e8e2 -> FETCH_HEAD
Submodule path 'external/CLI11': checked out '291c58789c031208f08f4f261a858b5b7083e8e2'
-- 3rd party project: using CLI11 from "external/CLI11"
CMake Deprecation Warning at external/CLI11/CMakeLists.txt:1 (cmake_minimum_required):
Compatibility with CMake < 3.5 will be removed from a future version of
CMake.
Update the VERSION argument <min> value or use a ...<max> suffix to tell
CMake that the project does not need compatibility with older versions.
-- Building CoreNEURON
-- Found Git: /usr/bin/git (found version "2.37.0 (Apple Git-136)")
-- Found Perl: /usr/bin/perl (found version "5.30.3")
-- Could NOT find nmodl (missing: nmodl_BINARY nmodl_INCLUDE nmodl_PYTHONPATH)
-- Sub-project : using nmodl from from /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/nmodl
-- CHECKING FOR FLEX/BISON
-- Found BISON: /opt/homebrew/opt/bison/bin/bison (found suitable version "3.8.2", minimum required is "3.0")
-- Adding Flex include path as : /opt/homebrew/opt/flex/include/
-- NMODL_TEST_FORMATTING: OFF
-- NMODL_GIT_HOOKS: OFF
-- NMODL_GIT_COMMIT_HOOKS:
-- NMODL_GIT_PUSH_HOOKS: courtesy-msg
-- NMODL_STATIC_ANALYSIS: OFF
-- NMODL_TEST_STATIC_ANALYSIS: OFF
-- 3rd party project: using eigen from "ext/eigen"
-- 3rd party project: using fmt from "ext/fmt"
-- Version: 10.2.1
-- Build type: Custom
-- 3rd party project: using json from "ext/json"
CMake Deprecation Warning at external/nmodl/ext/json/CMakeLists.txt:1 (cmake_minimum_required):
Compatibility with CMake < 3.5 will be removed from a future version of
CMake.
Update the VERSION argument <min> value or use a ...<max> suffix to tell
CMake that the project does not need compatibility with older versions.
-- Using the single-header code from /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/nmodl/ext/json/single_include/
-- 3rd party project: using pybind11 from "ext/pybind11"
CMake Deprecation Warning at external/nmodl/ext/pybind11/CMakeLists.txt:8 (cmake_minimum_required):
Compatibility with CMake < 3.5 will be removed from a future version of
CMake.
Update the VERSION argument <min> value or use a ...<max> suffix to tell
CMake that the project does not need compatibility with older versions.
-- pybind11 v2.9.2
CMake Warning (dev) at external/nmodl/ext/pybind11/tools/FindPythonLibsNew.cmake:98 (find_package):
Policy CMP0148 is not set: The FindPythonInterp and FindPythonLibs modules
are removed. Run "cmake --help-policy CMP0148" for policy details. Use
the cmake_policy command to set the policy and suppress this warning.
Call Stack (most recent call first):
external/nmodl/ext/pybind11/tools/pybind11Tools.cmake:50 (find_package)
external/nmodl/ext/pybind11/tools/pybind11Common.cmake:206 (include)
external/nmodl/ext/pybind11/CMakeLists.txt:200 (include)
This warning is for project developers. Use -Wno-dev to suppress it.
-- Found PythonInterp: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/venv/bin/python (found version "3.10.13")
-- Found PythonLibs: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib
-- 3rd party project: using spdlog from "ext/spdlog"
-- Build spdlog: 1.12.0
-- Build type: Custom
-- CHECKING FOR PYTHON
CMake Warning (dev) at external/nmodl/CMakeLists.txt:162 (find_package):
Policy CMP0148 is not set: The FindPythonInterp and FindPythonLibs modules
are removed. Run "cmake --help-policy CMP0148" for policy details. Use
the cmake_policy command to set the policy and suppress this warning.
This warning is for project developers. Use -Wno-dev to suppress it.
-- Found PythonInterp: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/venv/bin/python (found suitable version "3.10.13", minimum required is "3.8")
--
-- Configured NMODL 0.0 (bb4dfd2 2024-01-27 11:12:54 +0100)
--
-- You can now build NMODL using:
-- cmake --build . --parallel 8 [--target TARGET]
-- You might want to adjust the number of parallel build jobs for your system.
-- Some non-default targets you might want to build:
-- --------------------+--------------------------------------------------------
-- Target | Description
-- --------------------+--------------------------------------------------------
-- test | Run unit tests
-- install | Will install NMODL to: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install
-- --------------------+--------------------------------------------------------
-- Build option | Status
-- --------------------+--------------------------------------------------------
-- CXX COMPILER | /usr/bin/g++
-- COMPILE FLAGS | -O1 -g -openmp-simd
-- Build Type | Custom
-- Python Bindings | ON
-- Flex | /opt/homebrew/opt/flex/bin/flex
-- Bison | /opt/homebrew/opt/bison/bin/bison
-- Python | /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/venv/bin/python
-- Linked against | TRUE
-- --------------------+--------------------------------------------------------
-- See documentation : https://github.com/BlueBrain/nmodl/
-- --------------------+--------------------------------------------------------
--
--
-- CoreNEURON is enabled with following build configuration:
-- --------------------+--------------------------------------------------------
-- Build option | Status
-- --------------------+--------------------------------------------------------
-- CXX COMPILER | /usr/bin/g++
-- COMPILE FLAGS | -O1 -g -openmp-simd -std=c++17 -DCORENEURON_BUILD -DEIGEN_DONT_PARALLELIZE -DNRNMPI=1 -DLAYOUT=0 -DDISABLE_HOC_EXP -DENABLE_SPLAYTREE_QUEUING -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope
-- Build Type | SHARED
-- MPI | ON
-- DYNAMIC | OFF
-- INC | /opt/homebrew/Cellar/open-mpi/5.0.1/include
-- OpenMP | ON
-- NMODL PATH | /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/bin/nmodl
-- NMODL FLAGS |
-- GPU Support | OFF
-- Auto Timeout | ON
-- Wrap exp() | OFF
-- SplayTree Queue | ON
-- NetReceive Buffer | ON
-- Caliper | OFF
-- Likwid | OFF
-- Unit Tests | ON
-- Reporting | OFF
-- --------------------+--------------------------------------------------------
--
Extracting link flags from target 'nrngnu', beware that this can be fragile. Got:
Extracting link flags from target 'sparse13', beware that this can be fragile. Got:
Extracting link flags from target 'Threads::Threads', beware that this can be fragile. Got:
Generating link flags from path /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib Got: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib
Generating link flags from path /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib Got: /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
-- Sub-module : missing /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/catch2 : running git submodule update --init
Submodule 'external/catch2' (https://github.com/catchorg/Catch2.git) registered for path 'external/catch2'
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/external/catch2'...
Submodule path 'external/catch2': checked out 'c4e3767e265808590986d5db6ca1b5532a7f3d13'
-- Found Python module 'pytest' with version(s): 8.0.0
-- Found Python module 'pytest_cov' with version(s): 4.1.0
-- Fetching git submodule test/rxd/testdata: running git submodule update --init;--recursive;--depth;1 -- test/rxd/testdata
Submodule 'test/rxd/testdata' (https://github.com/neuronsimulator/rxdtestdata) registered for path 'test/rxd/testdata'
Cloning into '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/test/rxd/testdata'...
From https://github.com/neuronsimulator/rxdtestdata
* branch 30c76babbbc2cff005fd3f6f649c8b81da41ee58 -> FETCH_HEAD
Submodule path 'test/rxd/testdata': checked out '30c76babbbc2cff005fd3f6f649c8b81da41ee58'
-- 3rd party project: using rxd/testdata from "test/rxd/testdata"
-- Found Python module 'mpi4py' with version(s): 3.1.5
-- Disabling coreneuron_modtests::fornetcon_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::direct_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::direct_hoc_gpu: gpu not enabled
-- Disabling coreneuron_modtests::spikes_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::spikes_file_mode_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::fast_imem_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::datareturn_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_units_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_netmove_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_pointer_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_watchrange_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_psolve_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_ba_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_natrans_py_gpu: gpu not enabled
-- Found Python module 'mpi4py' with version(s): 3.1.5
-- Disabling coreneuron_modtests::spikes_mpi_file_mode_py_gpu: gpu not enabled
-- Disabling coreneuron_modtests::test_subworlds_py_gpu: gpu not enabled
-- Disabling nmodl_tests_coreneuron::test_table_coreneuron_gpu: gpu not enabled
-- Disabling nmodl_tests_coreneuron::test_disc_coreneuron_gpu: gpu not enabled
-- Disabling nmodl_tests_coreneuron::test_function_table_coreneuron_gpu: gpu not enabled
-- Disabling nmodl_tests_coreneuron::test_kinetic_coreneuron_gpu: gpu not enabled
-- Disabling reduced_dentate::coreneuron_gpu: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_offline_saverestore: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_python: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_offline: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_threads: gpu not enabled
-- Disabling external_ringtest::coreneuron_gpu_mpi_threads_python: gpu not enabled
-- Disabling testcorenrn_bbcore::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_bbcore::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_bbcore::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_conc::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_conc::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_conc::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_deriv::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_deriv::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_deriv::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_gf::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_gf::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_gf::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_kin::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_kin::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_kin::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_patstim::coreneuron_gpu_offline_saverestore: gpu not enabled
-- Disabling testcorenrn_patstim::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_vecplay::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_vecplay::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_vecplay::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_vecevent::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_vecevent::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_vecevent::coreneuron_gpu_offline: gpu not enabled
-- Disabling testcorenrn_watch::coreneuron_gpu_online: gpu not enabled
-- Disabling testcorenrn_watch::coreneuron_gpu_online_psolve_alternate: gpu not enabled
-- Disabling testcorenrn_watch::coreneuron_gpu_offline: gpu not enabled
-- Found OpenSSL: /opt/homebrew/Cellar/openssl@3/3.2.0_1/lib/libcrypto.dylib (found version "3.2.0")
--
-- Configured NEURON 9.0.0
--
-- You can now build NEURON using:
-- cmake --build . --parallel 8 [--target TARGET]
-- You might want to adjust the number of parallel build jobs for your system.
-- Some non-default targets you might want to build:
-- --------------+--------------------------------------------------------------
-- Target | Description
-- --------------+--------------------------------------------------------------
-- install | Will install NEURON to: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install
-- | Change the install location of NEURON using:
-- | cmake <src_path> -DCMAKE_INSTALL_PREFIX=<install_path>
-- docs | Build full docs. Calls targets: doxygen, notebooks, sphinx, notebooks-clean
-- uninstall | Removes files installed by make install (todo)
-- --------------+--------------------------------------------------------------
-- Build option | Status
-- --------------+--------------------------------------------------------------
-- C COMPILER | /usr/bin/gcc
-- CXX COMPILER | /usr/bin/g++
-- BUILD_TYPE | Custom (allowed: Custom;Debug;Release;RelWithDebInfo;Fast;FastDebug)
-- COMPILE FLAGS | -O1 -g -openmp-simd -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -O1 -g -openmp-simd
-- Shared | ON
-- MPI | ON
-- DYNAMIC | OFF
-- INC | /opt/homebrew/Cellar/open-mpi/5.0.1/include
-- LIB | /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib
-- Python | ON
-- DYNAMIC | OFF
-- MODULE | ON
-- python3.10 (default)
-- EXE | /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/venv/bin/python
-- INC | /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/include/python3.10
-- LIB | /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib
-- Readline | /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/lib/libreadline.tbd
-- Curses | /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/lib/libcurses.tbd;/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk/usr/lib/libform.tbd
-- RX3D | OFF
-- Interviews | OFF
-- CoreNEURON | ON
-- PATH | /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/coreneuron
-- LINK FLAGS | -lcorenrnmech -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib
-- Tests | ON
-- --------------+--------------------------------------------------------------
-- See documentation : https://www.neuron.yale.edu/neuron/
-- --------------+--------------------------------------------------------------
--
-- Configuring done (24.8s)
-- Generating done (0.1s)
-- Build files have been written to: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build
$ ccache -z
Statistics zeroed
$ ccache -vs 2>/dev/null
Cache directory: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/ccache
Config file: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/ccache/ccache.conf
System config file: /opt/homebrew/Cellar/ccache/4.9/etc/ccache.conf
Stats updated: Tue Jan 30 18:51:42 2024
Local storage:
Cache size (GiB): 0.3 / 5.0 ( 5.23%)
Files: 1918
Hits: 0
Misses: 0
Reads: 0
Writes: 0
$ cmake --build . --parallel
[0/2] Re-checking globbed directories...
[1/1744] Generating share/nrn/demo/demo.hoc
[2/1744] Generating share/nrn/demo/d3init.hoc
[3/1744] Generating share/nrn/demo/cycle.hoc
[4/1744] Generating share/nrn/demo/dend3/control.hoc
[5/1744] Generating share/nrn/demo/demo.ses
[6/1744] Generating share/nrn/demo/dend3.ses
[7/1744] Generating share/nrn/demo/dend3/dend3.nrn
[8/1744] Generating share/nrn/demo/dend3/syn3.hoc
[9/1744] Generating share/nrn/demo/dynchan.ses
[10/1744] Generating share/nrn/demo/dynclamp.hoc
[11/1744] Generating share/nrn/demo/dynclamp.ses
[12/1744] Generating share/nrn/demo/pyramid.nrn
[13/1744] Generating share/nrn/demo/hh.hoc
[14/1744] Generating share/nrn/demo/hh.ses
[15/1744] Generating share/nrn/demo/nomodel.hoc
[16/1744] Generating share/nrn/demo/pyramid.ses
[17/1744] Update hh.mod for CoreNEURON compatibility
[18/1744] Generating share/nrn/demo/release.hoc
[19/1744] Generating share/nrn/demo/reconst.hoc
[20/1744] Generating share/nrn/demo/release/cabpump.mod
[21/1744] Generating share/nrn/demo/release.ses
[22/1744] Generating share/nrn/demo/release/cachan1.mod
[23/1744] Generating share/nrn/demo/release/invlfire.mod
[24/1744] Generating share/nrn/demo/release/khhchan.mod
[25/1744] Generating share/nrn/demo/release/camchan.mod
[26/1744] Generating share/nrn/demo/release/capump.mod
[27/1744] Generating share/nrn/demo/release/nachan.mod
[28/1744] Generating share/nrn/demo/release/nacaex.mod
[29/1744] Generating share/nrn/demo/release/release.mod
[30/1744] Generating share/nrn/demo/singhh.hoc
[31/1744] Generating share/nrn/demo/singhh.ses
[32/1744] Generating share/nrn/demo/sync/demosync.hoc
[33/1744] Generating share/nrn/demo/sync/cell.hoc
[34/1744] Generating share/nrn/demo/singhhchan.hoc
[35/1744] Generating share/nrn/demo/sync/init.hoc
[36/1744] Generating share/nrn/demo/sync/start.ses
[37/1744] Generating share/nrn/demo/sync/spkplot.hoc
[38/1744] Generating share/nrn/demo/sync/synchronize.hoc
[39/1744] Generating share/nrn/lib/auditscripts/hocaudit.sh
[40/1744] Generating share/nrn/demo/testca.hoc
[41/1744] Generating share/nrn/lib/auditscripts/gettarname
[42/1744] Generating share/nrn/lib/auditscripts/retrieve.sh
[43/1744] Generating share/nrn/lib/auditscripts/notes
[44/1744] Generating share/nrn/lib/auditscripts/saveaudit
[45/1744] Generating share/nrn/lib/cleanup
[46/1744] Generating share/nrn/lib/hoc/attshape.hoc
[47/1744] Generating share/nrn/lib/helpdict
[48/1744] Generating share/nrn/lib/hoc/binfo.hoc
[49/1744] Generating share/nrn/lib/hoc/atoltool.hoc
[50/1744] Generating share/nrn/lib/hoc/celbild.hoc
[51/1744] Generating share/nrn/lib/hoc/celbild/celbild1.hoc
[52/1744] Generating share/nrn/lib/hoc/celbild/celgeom.hoc
[53/1744] Generating share/nrn/lib/hoc/celbild/celmang.hoc
[54/1744] Generating share/nrn/lib/hoc/celbild/celmemb.hoc
[55/1744] Generating share/nrn/lib/hoc/celbild/celset.hoc
[56/1744] Generating share/nrn/lib/hoc/celbild/celtopol.hoc
[57/1744] Generating share/nrn/lib/hoc/celbild/psubset.hoc
[58/1744] Generating share/nrn/lib/hoc/celbild/inhomofn.hoc
[59/1744] Generating share/nrn/lib/hoc/chanbild.hoc
[60/1744] Generating share/nrn/lib/hoc/chanbild/chanbild1.hoc
[61/1744] Generating share/nrn/lib/hoc/chanbild/exp.hoc
[62/1744] Generating share/nrn/lib/hoc/chanbild/gategui.hoc
[63/1744] Generating share/nrn/lib/hoc/chanbild/const.hoc
[64/1744] Generating share/nrn/lib/hoc/chanbild/kschanbg.hoc
[65/1744] Generating share/nrn/lib/hoc/chanbild/kstrans.hoc
[66/1744] Generating share/nrn/lib/hoc/chanbild/ksgate.hoc
[67/1744] Generating share/nrn/lib/hoc/chanbild/ksstate.hoc
[68/1744] Generating share/nrn/lib/hoc/chanbild/kstgui.hoc
[69/1744] Generating share/nrn/lib/hoc/chanbild/linoid.hoc
[70/1744] Generating share/nrn/lib/hoc/chanbild/properties.hoc
[71/1744] Generating share/nrn/lib/hoc/chanbild/table.hoc
[72/1744] Generating share/nrn/lib/hoc/chanbild/sigmoid.hoc
[73/1744] Generating share/nrn/lib/hoc/corenrn.hoc
[74/1744] Generating share/nrn/lib/hoc/family.hoc
[75/1744] Generating share/nrn/lib/hoc/electrod.hoc
[76/1744] Generating share/nrn/lib/hoc/funfit.hoc
[77/1744] Generating share/nrn/lib/hoc/gatherv.hoc
[78/1744] Generating share/nrn/lib/hoc/grapher.hoc
[79/1744] Generating share/nrn/lib/hoc/impedanc.hoc
[80/1744] Generating share/nrn/lib/hoc/impedanx.hoc
[81/1744] Generating share/nrn/lib/hoc/import3d.hoc
[82/1744] Generating share/nrn/lib/hoc/import3d/import3d_gui.hoc
[83/1744] Generating share/nrn/lib/hoc/import3d/read_nlcda.hoc
[84/1744] Generating share/nrn/lib/hoc/import3d/import3d_sec.hoc
[85/1744] Generating share/nrn/lib/hoc/import3d/read_morphml.hoc
[86/1744] Generating share/nrn/lib/hoc/import3d/read_swc.hoc
[87/1744] Generating share/nrn/lib/hoc/impratio.hoc
[88/1744] Generating share/nrn/lib/hoc/import3d/read_nlcda3.hoc
[89/1744] Generating share/nrn/lib/hoc/import3d/read_nts.hoc
[90/1744] Generating share/nrn/lib/hoc/inserter.hoc
[91/1744] Generating share/nrn/lib/hoc/lincir.hoc
[92/1744] Generating share/nrn/lib/hoc/lincir/elmbase.hoc
[93/1744] Generating share/nrn/lib/hoc/lincir/lincir1.hoc
[94/1744] Generating share/nrn/lib/hoc/lincir/linmech.hoc
[95/1744] Generating share/nrn/lib/hoc/logax.hoc
[96/1744] Generating share/nrn/lib/hoc/loadbal.hoc
[97/1744] Generating share/nrn/lib/hoc/lincir/lingraph.hoc
[98/1744] Generating share/nrn/lib/hoc/modlunit.hoc
[99/1744] Generating share/nrn/lib/hoc/mkcurve.hoc
[100/1744] Generating share/nrn/lib/hoc/macload.hoc
[101/1744] Generating share/nrn/lib/hoc/movierun.hoc
[102/1744] Generating share/nrn/lib/hoc/mulfit/clampfit.hoc
[103/1744] Generating share/nrn/lib/hoc/mknrndll.hoc
[104/1744] Generating share/nrn/lib/hoc/mulfit.hoc
[105/1744] Generating share/nrn/lib/hoc/mulfit/e_actpot.hoc
[106/1744] Generating share/nrn/lib/hoc/mulfit/e_y.hoc
[107/1744] Generating share/nrn/lib/hoc/mulfit/eonefunc.hoc
[108/1744] Generating share/nrn/lib/hoc/mulfit/e_xy.hoc
[109/1744] Generating share/nrn/lib/hoc/mulfit/eoneprim.hoc
[110/1744] Generating share/nrn/lib/hoc/mulfit/eparmlst.hoc
[111/1744] Generating share/nrn/lib/hoc/mulfit/e_norm.hoc
[112/1744] Generating share/nrn/lib/hoc/mulfit/eonerun.hoc
[113/1744] Generating share/nrn/lib/hoc/mulfit/mulfit1.hoc
[114/1744] Generating share/nrn/lib/hoc/mulfit/fitparm.hoc
[115/1744] Generating share/nrn/lib/hoc/mulfit/optwrap.hoc
[116/1744] Generating share/nrn/lib/hoc/mview/allpp.hoc
[117/1744] Generating share/nrn/lib/hoc/mulfit/protorun.hoc
[118/1744] Generating share/nrn/lib/hoc/mview.hoc
[119/1744] Generating share/nrn/lib/hoc/mview/allcell.hoc
[120/1744] Generating share/nrn/lib/hoc/mview/artview.hoc
[121/1744] Generating share/nrn/lib/hoc/mview/distinct.hoc
[122/1744] Generating share/nrn/lib/hoc/mview/distinctparm.hoc
[123/1744] Generating share/nrn/lib/hoc/mview/mviewgui.hoc
[124/1744] Generating share/nrn/lib/hoc/mview/mview1.hoc
[125/1744] Generating share/nrn/lib/hoc/mview/ppanal.hoc
[126/1744] Generating share/nrn/lib/hoc/mview/ncview.hoc
[127/1744] Generating share/nrn/lib/hoc/mview/parmsets.hoc
[128/1744] Generating share/nrn/lib/hoc/mview/mviewxml.hoc
[129/1744] Generating share/nrn/lib/hoc/mview/rcclasses.hoc
[130/1744] Generating share/nrn/lib/hoc/mview/parmvals.hoc
[131/1744] Generating share/nrn/lib/hoc/mview/realcell.hoc
[132/1744] Generating share/nrn/lib/hoc/netbild.hoc
[133/1744] Generating share/nrn/lib/hoc/netbild/artcel.hoc
[134/1744] Generating share/nrn/lib/hoc/mview/secanal.hoc
[135/1744] Generating share/nrn/lib/hoc/mview/treeview.hoc
[136/1744] Generating share/nrn/lib/hoc/netbild/grstrlst.hoc
[137/1744] Generating share/nrn/lib/hoc/netbild/netdata.hoc
[138/1744] Generating share/nrn/lib/hoc/netbild/edgegui.hoc
[139/1744] Generating share/nrn/lib/hoc/netbild/netgui.hoc
[140/1744] Generating share/nrn/lib/hoc/netbild/netready.hoc
[141/1744] Generating share/nrn/lib/hoc/netbild/syntypes.hoc
[142/1744] Generating share/nrn/lib/hoc/noload.hoc
[143/1744] Generating share/nrn/lib/hoc/netparmpi.hoc
[144/1744] Generating share/nrn/lib/hoc/netbild/spkplot.hoc
[145/1744] Generating share/nrn/lib/hoc/nrngui1.hoc
[146/1744] Generating share/nrn/lib/hoc/parcom.hoc
[147/1744] Generating share/nrn/lib/hoc/pointbsr.hoc
[148/1744] Generating share/nrn/lib/hoc/nrngui.hoc
[149/1744] Generating share/nrn/lib/hoc/pcchdir.hoc
[150/1744] Generating share/nrn/lib/hoc/pointgrp.hoc
[151/1744] Generating share/nrn/lib/hoc/showmech.hoc
[152/1744] Generating share/nrn/lib/hoc/shapebox.hoc
[153/1744] Generating share/nrn/lib/hoc/single.hoc
[154/1744] Generating share/nrn/lib/hoc/pointman.hoc
[155/1744] Generating share/nrn/lib/hoc/prcellstate.hoc
[156/1744] Generating share/nrn/lib/hoc/runfit.hoc
[157/1744] Generating share/nrn/lib/hoc/single1.hoc
[158/1744] Generating share/nrn/lib/hoc/stdgui.hoc
[159/1744] Generating share/nrn/lib/hoc/varmeth1.hoc
[160/1744] Generating share/nrn/lib/hoc/thresh.hoc
[161/1744] Generating share/nrn/lib/hoc/stdlib.hoc
[162/1744] Generating share/nrn/lib/hoc/vecwrap.hoc
[163/1744] Generating share/nrn/lib/hoc/subiter.hoc
[164/1744] Generating share/nrn/lib/hoc/stdrun.hoc
[165/1744] Generating share/nrn/lib/hoc/vplay.hoc
[166/1744] Generating share/nrn/lib/nrn.defaults.in
[167/1744] Generating share/nrn/lib/prologue.id
[168/1744] Generating share/nrn/lib/hoc/wingroup.hoc
[169/1744] Generating share/nrn/lib/hocload.sh
[170/1744] Generating share/nrn/lib/python/neuron/__init__.py
[171/1744] Generating share/nrn/lib/nrnunits.lib
[172/1744] Generating share/nrn/lib/python/neuron/config.py
[173/1744] Generating share/nrn/lib/python/neuron/coreneuron.py
[174/1744] Generating share/nrn/lib/python/neuron/crxd/__init__.py
[175/1744] Generating share/nrn/lib/python/neuron/doc.py
[176/1744] Generating share/nrn/lib/python/neuron/gui.py
[177/1744] Generating share/nrn/lib/python/neuron/expect_hocerr.py
[178/1744] Generating share/nrn/lib/python/neuron/gui2/__init__.py
[179/1744] Generating share/nrn/lib/python/neuron/gui2/config.py
[180/1744] Generating share/nrn/lib/python/neuron/gui2/plotshape.py
[181/1744] Generating share/nrn/lib/python/neuron/gui2/rangevar.py
[182/1744] Generating share/nrn/lib/python/neuron/hclass3.py
[183/1744] Generating share/nrn/lib/python/neuron/neuroml/__init__.py
[184/1744] Generating share/nrn/lib/python/neuron/gui2/setup_threejs.py
[185/1744] Generating share/nrn/lib/python/neuron/gui2/utilities.py
[186/1744] Generating share/nrn/lib/python/neuron/neuroml/morphml.py
[187/1744] Generating share/nrn/lib/python/neuron/neuroml/metadata.py
[188/1744] Generating share/nrn/lib/python/neuron/neuroml/neuroml.py
[189/1744] Generating share/nrn/lib/python/neuron/neuroml/biophysics.py
[190/1744] Generating share/nrn/lib/python/neuron/neuroml/rdxml.py
[191/1744] Generating share/nrn/lib/python/neuron/neuroml/xml2nrn.py
[192/1744] Generating share/nrn/lib/python/neuron/psection.py
[193/1744] Generating share/nrn/lib/python/neuron/rxd/constants.py
[194/1744] Generating share/nrn/lib/python/neuron/rxd/__init__.py
[195/1744] Generating share/nrn/lib/python/neuron/rxd/export.py
[196/1744] Generating share/nrn/lib/python/neuron/nonvint_block_supervisor.py
[197/1744] Generating share/nrn/lib/python/neuron/rxd/dimension3.py
[198/1744] Generating share/nrn/lib/python/neuron/rxd/generalizedReaction.py
[199/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/GeneralizedVoxelization.py
[200/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/__init__.py
[201/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/FullJoinMorph.py
[202/1744] Generating share/nrn/lib/python/neuron/rxd/geometry.py
[203/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/ctng.pyx
[204/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/graphicsPrimitives.pyx
[205/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/simplevolume_helper.py
[206/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/surface.py
[207/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/surface_a.py
[208/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/voxelize.py
[209/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/scalarField.py
[210/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/surfaces.pyx
[211/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/triangularMesh.py
[212/1744] Generating share/nrn/lib/python/neuron/rxd/initializer.py
[213/1744] Generating share/nrn/lib/python/neuron/rxd/geometry3d/voxelize2.py
[214/1744] Generating share/nrn/lib/python/neuron/rxd/node.py
[215/1744] Generating share/nrn/lib/python/neuron/rxd/gui.py
[216/1744] Generating share/nrn/lib/python/neuron/rxd/multiCompartmentReaction.py
[217/1744] Generating share/nrn/lib/python/neuron/rxd/nodelist.py
[218/1744] Generating share/nrn/lib/python/neuron/rxd/rangevar.py
[219/1744] Generating share/nrn/lib/python/neuron/rxd/options.py
[220/1744] Generating share/nrn/lib/python/neuron/rxd/plugins.py
[221/1744] Generating share/nrn/lib/python/neuron/rxd/reaction.py
[222/1744] Generating share/nrn/lib/python/neuron/rxd/rate.py
[223/1744] Generating share/nrn/lib/python/neuron/rxd/region.py
[224/1744] Generating share/nrn/lib/python/neuron/rxd/rxdException.py
[225/1744] Generating share/nrn/lib/python/neuron/rxd/section1d.py
[226/1744] Generating share/nrn/lib/python/neuron/rxd/rxd.py
[227/1744] Generating share/nrn/lib/python/neuron/rxd/species.py
[228/1744] Generating share/nrn/lib/python/neuron/rxd/rxdmath.py
[229/1744] Generating share/nrn/lib/python/neuron/rxdtests/do_test.py
[230/1744] Generating share/nrn/lib/python/neuron/rxd/rxdsection.py
[231/1744] Generating share/nrn/lib/python/neuron/rxdtests/readme.txt
[232/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/circadian_rhythm.py
[233/1744] Generating share/nrn/lib/python/neuron/rxdtests/run_all.py
[234/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/include_flux3d.py
[235/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/include_flux3d_cvode.py
[236/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/pure_diffusion_3d.py
[237/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/multicompartment_reactions.py
[238/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/IraHH.py
[239/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/ca_pump.py
[240/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/cabuf_fixed_step.py
[241/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/c91662.swc
[242/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/pure_diffusion_3d_cvode.py
[243/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_before_sections.py
[244/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/cabuf.py
[245/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/3d/torun.txt
[246/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_include_flux_cvode.py
[247/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_example.py
[248/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_include_flux.py
[249/1744] Generating help_data.dat
[250/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_example_cvode.py
[251/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_multi_example_cvode.py
[252/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/pump.mod
[253/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/torun.txt
[254/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh.py
[255/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/ecs/ecs_multi_example.py
[256/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh_cvode.py
[257/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh_morph.py
[258/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh_param.py
[259/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/bistable_hybrid.py
[260/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/hh_param_cvode.py
[261/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/bistable_hybrid_cvode.py
[262/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/bistable_hybrid_change_nthread.py
[263/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/bistable_hybrid_cvode_change_nthread.py
[264/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/pure_diffusion_hybrid_cvode.py
[265/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/pure_diffusion_hybrid.py
[266/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/hybrid/torun.txt
[267/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/include_flux.py
[268/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/multicompartment_reactions.py
[269/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/multicompartment_mebrane_mismatch.py
[270/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/include_flux_cvode.py
[271/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/multicompartment_reactions_del.py
[272/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/multicompartment_reactions_with_v.py
[273/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/nodes_update.py
[274/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/reaction_null_dest.py
[275/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/pure_diffusion_cvode.py
[276/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/react_region_specified.py
[277/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/pure_diffusion.py
[278/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/reaction_param_test.py
[279/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/torun.txt
[280/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/cal2.mod
[281/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/verify_no_initialization_order_issue.py
[282/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/reaction_test.py
[283/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/readme.txt
[284/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/torun.txt
[285/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/caleak.mod
[286/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_25_5.py
[287/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_taper_25_5.py
[288/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_tree2_125_1.py
[289/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_125_1.py
[290/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_taper_125_1.py
[291/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_tree2_25_5.py
[292/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_tree_125_1.py
[293/1744] Generating share/nrn/lib/python/neuron/rxdtests/tests/wave1d/wave1d_tree_25_5.py
[294/1744] Generating share/nrn/lib/python/neuron/sections.py
[295/1744] Generating share/nrn/lib/python/neuron/tests/__init__.py
[296/1744] Generating share/nrn/lib/python/neuron/tests/test_all.py
[297/1744] Generating share/nrn/lib/python/neuron/tests/test_neuron.py
[298/1744] Generating share/nrn/lib/python/neuron/tests/_subclass.py
[299/1744] Generating share/nrn/lib/python/neuron/tests/test_rxd.py
[300/1744] Generating share/nrn/lib/python/neuron/tests/utils/__init__.py
[301/1744] Generating share/nrn/lib/python/neuron/tests/utils/checkresult.py
[302/1744] Generating share/nrn/lib/python/neuron/tests/utils/capture_stdout.py
[303/1744] Generating share/nrn/lib/python/neuron/tests/test_vector.py
[304/1744] Generating share/nrn/lib/python/neuron/units.py
[305/1744] Generating share/nrn/lib/python/scripts/_README.txt
[306/1744] Generating share/nrn/lib/python/scripts/_binwrapper.py
[307/1744] Generating share/nrn/lib/python/scripts/idraw
[308/1744] Generating share/nrn/lib/python/neuron/tests/utils/strtobool.py
[309/1744] Generating share/nrn/lib/python/scripts/modlunit
[310/1744] Generating share/nrn/lib/python/scripts/neurondemo
[311/1744] Generating share/nrn/lib/python/scripts/mkthreadsafe
[312/1744] Generating share/nrn/lib/python/scripts/nmodl
[313/1744] Generating share/nrn/lib/python/scripts/nrniv
[314/1744] Generating share/nrn/lib/python/scripts/nrnivmodl
[315/1744] Generating share/nrn/lib/python/scripts/nrngui
[316/1744] Generating share/nrn/lib/python/scripts/nrnpyenv.sh
[317/1744] Generating share/nrn/lib/python/scripts/nrnivmodl-core
[318/1744] Generating share/nrn/lib/python/scripts/nrniv-core
[319/1744] Generating share/nrn/lib/python/scripts/sortspike
[320/1744] Generating include/backtrace_utils.h
[321/1744] Generating share/nrn/lib/shape.cm1
[322/1744] Generating include/cabvars.h
[323/1744] Generating share/nrn/lib/shape.cm2
[324/1744] Generating include/crout.hpp
[325/1744] Generating include/bbsavestate.h
[326/1744] Generating include/crout_thread.hpp
[327/1744] Generating include/deflate.hpp
[328/1744] Generating include/dimplic.hpp
[329/1744] Generating include/errcodes.hpp
[330/1744] Generating include/euler.hpp
[331/1744] Generating include/euler_thread.hpp
[332/1744] Generating include/hoc_membf.h
[333/1744] Generating include/hocgetsym.h
[334/1744] Generating include/hoc.h
[335/1744] Generating include/hocassrt.h
[336/1744] Generating include/hocdec.h
[337/1744] Generating include/hocparse.h
[338/1744] Generating include/hoclist.h
[339/1744] Generating include/md2redef.h
[340/1744] Generating include/md1redef.h
[341/1744] Generating include/mcran4.h
[342/1744] Generating include/mech_api.h
[343/1744] Generating include/memory.hpp
[344/1744] Generating include/membfunc.h
[345/1744] Generating include/membdef.h
[346/1744] Generating include/multicore.h
[347/1744] Generating include/neuron.h
[348/1744] Generating include/multisplit.h
[349/1744] Generating include/neuron/cache/mechanism_range.hpp
[350/1744] Generating include/neuron/container/data_handle.hpp
[351/1744] Generating include/neuron/container/non_owning_soa_identifier.hpp
[352/1744] Generating include/neuronapi.h
[353/1744] Generating include/neuron/model_data_fwd.hpp
[354/1744] Generating include/neuron/container/generic_data_handle.hpp
[355/1744] Generating include/newton_struct.h
[356/1744] Generating include/newton.hpp
[357/1744] Generating include/nmodlmutex.h
[358/1744] Generating include/nrnapi.h
[359/1744] Generating include/nrnassrt.h
[360/1744] Generating include/newton_thread.hpp
[361/1744] Generating include/nrnisaac.h
[362/1744] Generating include/nrncvode.h
[363/1744] Generating include/nrniv_mf.h
[364/1744] Generating include/nrnmpi.h
[365/1744] Generating include/nrn_ansi.h
[366/1744] Generating include/nrnmpidec.h
[367/1744] Generating include/nrnrandom.h
[368/1744] Generating include/nrnredef.h
[369/1744] Generating include/nrnoc_ml.h
[370/1744] Generating include/nrnran123.h
[371/1744] Generating include/nrnversionmacros.h
[372/1744] Generating include/oc_ansi.h
[373/1744] Generating include/ocfunc.h
[374/1744] Generating include/ocmisc.h
[375/1744] Generating include/options.h
[376/1744] Generating include/row_view.hpp
[377/1744] Generating include/parse_with_deps.hpp
[378/1744] Generating include/runge.hpp
[379/1744] Generating include/scoplib.h
[380/1744] Generating include/simeq.hpp
[381/1744] Generating include/sparse_thread.hpp
[382/1744] Generating include/spconfig.h
[383/1744] Generating include/section_fwd.hpp
[384/1744] Generating include/sparse.hpp
[385/1744] Generating include/ssimplic_thread.hpp
[386/1744] Generating include/ssimplic.hpp
[387/1744] Generating include/spmatrix.h
[388/1744] Generating include/treeset.h
[389/1744] Generating include/wrap_sprintf.h
[390/1744] Building CXX object src/coreneuron/CMakeFiles/corenrn_mpi.dir/mpi/lib/mpispike.cpp.o
[391/1744] Building CXX object src/coreneuron/CMakeFiles/corenrn_mpi.dir/mpi/lib/nrnmpi.cpp.o
[392/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/mem_layout_util.cpp.o
[393/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/global_vars.cpp.o
[394/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/lfp.cpp.o
[395/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/mech_report.cpp.o
[396/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/gpu/nrn_acc_manager.cpp.o
[397/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/apps/main1.cpp.o
[398/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/mk_mech.cpp.o
[399/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/core2nrn_data_return.cpp.o
[400/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/nrn_filehandler.cpp.o
[401/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/nrn2core_data_init.cpp.o
[402/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/output_spikes.cpp.o
[403/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/phase1.cpp.o
[404/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/nrn_checkpoint.cpp.o
[405/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/nrnreport.cpp.o
[406/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/report_event.cpp.o
[407/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/report_configuration_parser.cpp.o
[408/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/report_handler.cpp.o
[409/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/reports/sonata_report_handler.cpp.o
[410/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/capac.cpp.o
[411/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mpi/core/nrnmpi_def_cinc.cpp.o
[412/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/setup_fornetcon.cpp.o
[413/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/prcellstate.cpp.o
[414/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/eion.cpp.o
[415/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/mech_mapping.cpp.o
[416/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/cvodestb.cpp.o
[417/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/patternstim.cpp.o
[418/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/phase2.cpp.o
[419/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/multisend.cpp.o
[420/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/io/nrn_setup.cpp.o
[421/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/balance.cpp.o
[422/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/multisend_setup.cpp.o
[423/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/partrans.cpp.o
[424/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/tqueue.cpp.o
[425/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mechanism/register_mech.cpp.o
[426/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/netcvode.cpp.o
[427/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/cellorder.cpp.o
[428/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/partrans_setup.cpp.o
[429/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/data_layout.cpp.o
[430/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/network/netpar.cpp.o
[431/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/cellorder2.cpp.o
[432/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/fast_imem.cpp.o
[433/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/cellorder1.cpp.o
[434/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/fadvance_core.cpp.o
[435/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/scopmath/abort.cpp.o
[436/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/ivocvect.cpp.o
[437/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/scopmath/newton_thread.cpp.o
[438/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/solve_core.cpp.o
[439/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/finitialize.cpp.o
[440/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/permute/node_permute.cpp.o
[441/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/progressbar/progressbar.cpp.o
[442/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/memory.cpp.o
[443/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/treeset_core.cpp.o
[444/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/string_utils.cpp.o
[445/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/lpt.cpp.o
[446/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/sim/multicore.cpp.o
[447/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/nrntimeout.cpp.o
[448/1744] Generating ../../share/coreneuron/enginemech.cpp
[449/1744] Generating ../../share/coreneuron/mod_func.c.pl
[450/1744] Generating ../../share/modfile/exp2syn.mod
[451/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/memory_utils.cpp.o
[452/1744] Generating ../../share/modfile/expsyn.mod
[453/1744] Generating ../../share/modfile/hh.mod
[454/1744] Generating ../../share/modfile/netstim.mod
[455/1744] Generating ../../share/modfile/passive.mod
[456/1744] Generating ../../share/modfile/pattern.mod
[457/1744] Generating ../../share/modfile/stim.mod
[458/1744] Generating ../../share/modfile/svclmp.mod
[459/1744] Generating ../../share/coreneuron/coreneuron.cpp
[460/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/nrn_stats.cpp.o
[461/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/nrnoc_aux.cpp.o
[462/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/__/__/coreneuron/config/config.cpp.o
[463/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/utils.cpp.o
[464/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/randoms/nrnran123.cpp.o
[465/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/mpi/core/resolve.cpp.o
[466/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/utils/vrecord.cpp.o
[467/1744] Building CXX object external/nmodl/ext/fmt/CMakeFiles/fmt.dir/src/os.cc.o
[468/1744] -- NMODL : GENERATING AST CLASSES WITH PYTHON GENERATOR! --
[469/1744] Building CXX object external/nmodl/ext/spdlog/CMakeFiles/spdlog.dir/src/file_sinks.cpp.o
[470/1744] -- NMODL : GENERATING VERBATIM PARSER WITH BISON! --
../../../../../external/nmodl/src/parser/verbatim.yy:29.1-24: warning: deprecated directive: '%name-prefix "Verbatim_"', use '%define api.prefix {Verbatim_}' [-Wdeprecated]
29 | %name-prefix "Verbatim_"
| ^~~~~~~~~~~~~~~~~~~~~~~~
| %define api.prefix {Verbatim_}
../../../../../external/nmodl/src/parser/verbatim.yy: warning: fix-its can be applied. Rerun with option '--update'. [-Wother]
[471/1744] Building CXX object external/nmodl/ext/spdlog/CMakeFiles/spdlog.dir/src/stdout_sinks.cpp.o
[472/1744] -- NMODL : GENERATING DIFFERENTIAL EQUATION PARSER WITH BISON! --
../../../../../external/nmodl/src/parser/diffeq.yy:56.1-40: warning: deprecated directive: '%define parser_class_name {DiffeqParser}', use '%define api.parser.class {DiffeqParser}' [-Wdeprecated]
56 | %define parser_class_name {DiffeqParser}
| ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
| %define api.parser.class {DiffeqParser}
../../../../../external/nmodl/src/parser/diffeq.yy: warning: 2 shift/reduce conflicts [-Wconflicts-sr]
../../../../../external/nmodl/src/parser/diffeq.yy: note: rerun with option '-Wcounterexamples' to generate conflict counterexamples
../../../../../external/nmodl/src/parser/diffeq.yy: warning: fix-its can be applied. Rerun with option '--update'. [-Wother]
[473/1744] Building CXX object external/nmodl/ext/spdlog/CMakeFiles/spdlog.dir/src/cfg.cpp.o
[474/1744] Building CXX object external/nmodl/ext/spdlog/CMakeFiles/spdlog.dir/src/color_sinks.cpp.o
[475/1744] -- NMODL : GENERATING UNIT PARSER WITH BISON! --
../../../../../external/nmodl/src/parser/unit.yy:49.1-38: warning: deprecated directive: '%define parser_class_name {UnitParser}', use '%define api.parser.class {UnitParser}' [-Wdeprecated]
49 | %define parser_class_name {UnitParser}
| ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
| %define api.parser.class {UnitParser}
../../../../../external/nmodl/src/parser/unit.yy: warning: fix-its can be applied. Rerun with option '--update'. [-Wother]
[476/1744] Building CXX object external/nmodl/src/printer/CMakeFiles/printer.dir/code_printer.cpp.o
[477/1744] Building CXX object external/nmodl/ext/spdlog/CMakeFiles/spdlog.dir/src/async.cpp.o
[478/1744] -- NMODL : GENERATING C (11) PARSER WITH BISON! --
../../../../../external/nmodl/src/parser/c11.yy:52.1-35: warning: deprecated directive: '%define parser_class_name {CParser}', use '%define api.parser.class {CParser}' [-Wdeprecated]
52 | %define parser_class_name {CParser}
| ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
| %define api.parser.class {CParser}
../../../../../external/nmodl/src/parser/c11.yy: warning: fix-its can be applied. Rerun with option '--update'. [-Wother]
[479/1744] Building CXX object external/nmodl/ext/fmt/CMakeFiles/fmt.dir/src/format.cc.o
[480/1744] Building CXX object external/nmodl/src/printer/CMakeFiles/printer.dir/nmodl_printer.cpp.o
[481/1744] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/common_utils.cpp.o
[482/1744] Linking CXX static library lib/libfmt.a
[483/1744] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/file_library.cpp.o
[484/1744] -- NMODL : GENERATING NMODL_CORE PARSER WITH BISON! --
../../../../../external/nmodl/src/parser/nmodl.yy:64.1-39: warning: deprecated directive: '%define parser_class_name {NmodlParser}', use '%define api.parser.class {NmodlParser}' [-Wdeprecated]
64 | %define parser_class_name {NmodlParser}
| ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
| %define api.parser.class {NmodlParser}
../../../../../external/nmodl/src/parser/nmodl.yy: warning: 3 shift/reduce conflicts [-Wconflicts-sr]
../../../../../external/nmodl/src/parser/nmodl.yy: note: rerun with option '-Wcounterexamples' to generate conflict counterexamples
../../../../../external/nmodl/src/parser/nmodl.yy: warning: fix-its can be applied. Rerun with option '--update'. [-Wother]
[485/1744] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/perf_stat.cpp.o
[486/1744] Generating ../../../../lib/nmodl/__init__.py
[487/1744] Generating ../../../../lib/nmodl/ast.py
[488/1744] Generating ../../../../lib/nmodl/dsl.py
[489/1744] Generating ../../../../lib/nmodl/ode.py
[490/1744] Generating ../../../../lib/nmodl/symtab.py
[491/1744] Generating ../../../../lib/nmodl/visitor.py
[492/1744] Generating ../../../../include/newton/newton.hpp
[493/1744] Generating ../../../../include/crout/crout.hpp
[494/1744] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/string_utils.cpp.o
[495/1744] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/table_data.cpp.o
[496/1744] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/__/config/config.cpp.o
[497/1744] Building CXX object src/sparse13/CMakeFiles/sparse13.dir/spalloc.cpp.o
[498/1744] Building CXX object src/sparse13/CMakeFiles/sparse13.dir/spbuild.cpp.o
[499/1744] Building CXX object src/sparse13/CMakeFiles/sparse13.dir/spoutput.cpp.o
[500/1744] Building CXX object src/sparse13/CMakeFiles/sparse13.dir/spfactor.cpp.o
[501/1744] Building CXX object src/sparse13/CMakeFiles/sparse13.dir/spsolve.cpp.o
[502/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Binomial.cpp.o
[503/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/ACG.cpp.o
[504/1744] Building CXX object src/sparse13/CMakeFiles/sparse13.dir/sputils.cpp.o
[505/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/DiscUnif.cpp.o
[506/1744] Linking CXX static library lib/libsparse13.a
[507/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Erlang.cpp.o
[508/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Geom.cpp.o
[509/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/HypGeom.cpp.o
[510/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/isaac64.cpp.o
[511/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/LogNorm.cpp.o
[512/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Isaac64RNG.cpp.o
[513/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/MCellRan4RNG.cpp.o
[514/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/mcran4.cpp.o
[515/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/NegExp.cpp.o
[516/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/MLCG.cpp.o
[517/1744] Building CXX object external/nmodl/ext/spdlog/CMakeFiles/spdlog.dir/src/spdlog.cpp.o
[518/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/nrnisaac.cpp.o
[519/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Normal.cpp.o
[520/1744] Linking CXX static library lib/libspdlog.a
[521/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Poisson.cpp.o
[522/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Rand.cpp.o
[523/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Random.cpp.o
[524/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/RndInt.cpp.o
[525/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/RNG.cpp.o
[526/1744] [FLEX][modlunitlexer] Building scanner with flex 2.6.4
[527/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Uniform.cpp.o
[528/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/Weibull.cpp.o
[529/1744] [FLEX][nocmodllexer] Building scanner with flex 2.6.4
[530/1744] [BISON][nocmodlparser] Building parser with bison 3.8.2
../src/nmodl/diffeq.ypp: warning: 5 shift/reduce conflicts [-Wconflicts-sr]
../src/nmodl/diffeq.ypp: note: rerun with option '-Wcounterexamples' to generate conflict counterexamples
[531/1744] Building CXX object src/gnu/CMakeFiles/nrngnu.dir/nrnran123.cpp.o
[532/1744] Building CXX object external/nmodl/src/printer/CMakeFiles/printer.dir/json_printer.cpp.o
[533/1744] Linking CXX static library lib/libnrngnu.a
[534/1744] [BISON][modlunitparser] Building parser with bison 3.8.2
[535/1744] Generating ../../share/nrn/nrnmain.cpp
[536/1744] [BISON][ocparser] Building parser with bison 3.8.2
../src/oc/parse.ypp: warning: 96 shift/reduce conflicts [-Wconflicts-sr]
../src/oc/parse.ypp: note: rerun with option '-Wcounterexamples' to generate conflict counterexamples
[537/1744] [BISON][nocmodlparser] Building parser with bison 3.8.2
../src/nmodl/parse1.ypp: warning: 3 shift/reduce conflicts [-Wconflicts-sr]
../src/nmodl/parse1.ypp: note: rerun with option '-Wcounterexamples' to generate conflict counterexamples
[538/1744] Generating ../oc/hocusr.h
[539/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/declare.cpp.o
[540/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/kinunit.cpp.o
[541/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/consist.cpp.o
[542/1744] Building CXX object external/nmodl/src/pybind/CMakeFiles/pyembed.dir/pyembed.cpp.o
[543/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/io.cpp.o
[544/1744] cd /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/src/nrnoc && /opt/homebrew/Cellar/cmake/3.28.1/bin/cmake -E env PROJECT_VERSION=9.0.0 bash /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/git2nrnversion_h.sh /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn > nrnversion.h.tmp && /opt/homebrew/Cellar/cmake/3.28.1/bin/cmake -E copy_if_different nrnversion.h.tmp nrnversion.h
fatal: No names found, cannot describe anything.
[545/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/init.cpp.o
[546/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/model.cpp.o
[547/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/list.cpp.o
[548/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/passn.cpp.o
[549/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/symbol.cpp.o
[550/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/units1.cpp.o
[551/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/units.cpp.o
[552/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/nrnunit.cpp.o
[553/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/__/modlunit/version.cpp.o
[554/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/consist.cpp.o
[555/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/modlunit_generated/lex.cpp.o
[556/1744] Building CXX object src/nrniv/CMakeFiles/modlunit.dir/modlunit_generated/parse1.cpp.o
[557/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/discrete.cpp.o
[558/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/deriv.cpp.o
[559/1744] Building CXX object external/nmodl/src/utils/CMakeFiles/util.dir/logger.cpp.o
[560/1744] Linking CXX executable bin/modlunit
[561/1744] Linking CXX static library lib/libutil.a
[562/1744] -- NMODL : GENERATING NMODL LEXER WITH FLEX! --
../../../../../external/nmodl/src/lexer/nmodl.ll:503: warning, -s option given but default rule can be matched
[563/1744] -- NMODL : GENERATING VERBATIM LEXER WITH FLEX! --
[564/1744] Building CXX object src/coreneuron/CMakeFiles/coreneuron-core.dir/apps/corenrn_parameters.cpp.o
[565/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/init.cpp.o
[566/1744] -- NMODL : GENERATING DIFFERENTIAL EQUATION LEXER WITH FLEX! --
../../../../../external/nmodl/src/lexer/diffeq.ll:100: warning, -s option given but default rule can be matched
[567/1744] -- NMODL : GENERATING C(11) LEXER WITH FLEX! --
[568/1744] -- NMODL : GENERATING UNIT LEXER WITH FLEX! --
../../../../../external/nmodl/src/lexer/unit.ll:171: warning, -s option given but default rule can be matched
[569/1744] Linking CXX static library lib/libpyembed.a
[570/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/io.cpp.o
[571/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/list.cpp.o
[572/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/noccout.cpp.o
[573/1744] Linking CXX static library lib/libcoreneuron-core.a
[574/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/kinetic.cpp.o
[575/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/nocpout.cpp.o
[576/1744] Building CXX object external/nmodl/src/pybind/CMakeFiles/pywrapper.dir/wrapper.cpp.o
[577/1744] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_transform_visitor.cpp.o
[578/1744] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_acc_visitor.cpp.o
[579/1744] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_info.cpp.o
[580/1744] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_compatibility_visitor.cpp.o
[581/1744] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_utils.cpp.o
[582/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/modtoken.cpp.o
[583/1744] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_neuron_cpp_visitor.cpp.o
[584/1744] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_helper_visitor.cpp.o
[585/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/verbatim_lexer.cpp.o
[586/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/token_mapping.cpp.o
[587/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/diffeq_base_lexer.cpp.o
[588/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/nmodl_utils.cpp.o
[589/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/diffeq_driver.cpp.o
[590/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/unit_base_lexer.cpp.o
[591/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/c11_base_lexer.cpp.o
[592/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/nmodl_base_lexer.cpp.o
[593/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/modl.cpp.o
[594/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/diffeq_context.cpp.o
[595/1744] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_cpp_visitor.cpp.o
[596/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/verbatim_parser.cpp.o
[597/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/c11_driver.cpp.o
[598/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/unit_driver.cpp.o
[599/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/c/c11_parser.cpp.o
[600/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/diffeq/diffeq_parser.cpp.o
[601/1744] Building CXX object external/nmodl/src/symtab/CMakeFiles/symtab.dir/symbol_properties.cpp.o
[602/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/nmodl_driver.cpp.o
[603/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/unit/unit_parser.cpp.o
[604/1744] Building CXX object external/nmodl/src/symtab/CMakeFiles/symtab.dir/symbol.cpp.o
[605/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/units/units.cpp.o
[606/1744] Building CXX object external/nmodl/src/codegen/CMakeFiles/codegen.dir/codegen_coreneuron_cpp_visitor.cpp.o
[607/1744] Building CXX object external/nmodl/src/symtab/CMakeFiles/symtab.dir/symbol_table.cpp.o
[608/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/global_var_visitor.cpp.o
[609/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/after_cvode_to_cnexp_visitor.cpp.o
[610/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/indexedname_visitor.cpp.o
[611/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/constant_folder_visitor.cpp.o
[612/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/implicit_argument_visitor.cpp.o
[613/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/local_to_assigned_visitor.cpp.o
[614/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/defuse_analyze_visitor.cpp.o
[615/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/local_var_rename_visitor.cpp.o
[616/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/parser/nmodl/nmodl_parser.cpp.o
[617/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/loop_unroll_visitor.cpp.o
[618/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/kinetic_block_visitor.cpp.o
[619/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/localize_visitor.cpp.o
[620/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/perf_visitor.cpp.o
[621/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/neuron_solve_visitor.cpp.o
[622/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/inline_visitor.cpp.o
[623/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/semantic_analysis_visitor.cpp.o
[624/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/solve_block_visitor.cpp.o
[625/1744] Building CXX object external/nmodl/src/CMakeFiles/nmodl.dir/main.cpp.o
[626/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/var_usage_visitor.cpp.o
[627/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/units_visitor.cpp.o
[628/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/rename_visitor.cpp.o
[629/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/steadystate_visitor.cpp.o
[630/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/verbatim_visitor.cpp.o
[631/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/verbatim_var_rename_visitor.cpp.o
[632/1744] Building CXX object external/nmodl/src/lexer/CMakeFiles/lexer.dir/__/ast/ast.cpp.o
[633/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/ast_visitor.cpp.o
[634/1744] Linking CXX static library lib/liblexer.a
[635/1744] Linking CXX static library lib/libsymtab.a
[636/1744] Linking CXX static library lib/libpywrapper.a
[637/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/sympy_replace_solutions_visitor.cpp.o
[638/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/sympy_conductance_visitor.cpp.o
[639/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/checkparent_visitor.cpp.o
[640/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/lookup_visitor.cpp.o
[641/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/json_visitor.cpp.o
[642/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/visitor_utils.cpp.o
[643/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/sympy_solver_visitor.cpp.o
[644/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/nmodl_visitor.cpp.o
[645/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/netrec_discon.cpp.o
[646/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/parsact.cpp.o
[647/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/simultan.cpp.o
[648/1744] Building CXX object external/nmodl/src/visitors/CMakeFiles/visitor.dir/symtab_visitor.cpp.o
[649/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/solve.cpp.o
[650/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/symbol.cpp.o
[651/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/units.cpp.o
[652/1744] Linking CXX static library lib/libvisitor.a
[653/1744] Linking CXX static library lib/libcodegen.a
[654/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/__/nmodl/version.cpp.o
[655/1744] Generating ../../include/nrnmpiuse.h
[656/1744] Generating ../../include/nrncvode/nrnneosm.h
[657/1744] Generating ../../include/nrnconf.h
[658/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/nocmodl_generated/lex.cpp.o
[659/1744] Generating unit_tests/python_unit_tests/test/unit_tests/hoc_python/test_StringFunctions.py
[660/1744] Generating coreneuron_standalone/test_nrn_corenrn_standalone/test/coreneuron/test_psolve.py
[661/1744] Generating nrniv_script.py/do_nothing.py
[662/1744] Generating nrniv_script.py_error/assert_false.py
[663/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/nocmodl_generated/parse1.cpp.o
[664/1744] Generating nrniv_two_scripts.py/do_nothing.py
[665/1744] Generating nrniv_two_scripts.py_error_check_code/assert_false.py
[666/1744] Generating nrniv_two_scripts.py_error_check_code/do_nothing.py
[667/1744] Generating nrniv_two_scripts.py_error_check_output/assert_false.py
[668/1744] Generating nrniv_two_scripts.py_error_check_output/do_nothing.py
[669/1744] Generating nrniv_python_script.py_error/assert_false.py
[670/1744] Generating nrniv_python_script.py/do_nothing.py
[671/1744] Generating nrniv_python_script.py_twice/assert_false.py
[672/1744] Generating nrniv_python_script.py_twice/do_nothing.py
[673/1744] Building CXX object src/nrnpython/CMakeFiles/rxdmath.dir/rxdmath.cpp.o
[674/1744] Generating nrniv_python_script.py_args/assert_last_args_are_foo_and_bar.py
[675/1744] Generating nrniv_py3.10_python_check_sys_path/check_sys_attr.py
[676/1744] Generating nrniv_py3.10_python_check_sys_path/dump_sys_attr.py
[677/1744] Generating nrniv_py3.10_nrnpython_check_sys_path/check_sys_attr.hoc
[678/1744] Generating nrniv_py3.10_nrnpython_check_sys_path/dump_sys_attr.py
[679/1744] Generating nrniv_py3.10_python_check_sys_prefix/check_sys_attr.py
[680/1744] Generating nrniv_py3.10_python_check_sys_prefix/dump_sys_attr.py
[681/1744] Generating nrniv_py3.10_nrnpython_check_sys_prefix/check_sys_attr.hoc
[682/1744] Generating nrniv_py3.10_python_check_sys_exec_prefix/check_sys_attr.py
[683/1744] Generating nrniv_py3.10_nrnpython_check_sys_prefix/dump_sys_attr.py
[684/1744] Linking CXX shared library lib/librxdmath.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
[685/1744] Generating nrniv_py3.10_python_check_sys_exec_prefix/dump_sys_attr.py
[686/1744] Generating nrniv_py3.10_nrnpython_check_sys_exec_prefix/check_sys_attr.hoc
[687/1744] Generating nrniv_py3.10_nrnpython_check_sys_exec_prefix/dump_sys_attr.py
[688/1744] Generating nrniv_py3.10_python_check_sys_base_prefix/check_sys_attr.py
[689/1744] Generating nrniv_py3.10_python_check_sys_base_prefix/dump_sys_attr.py
[690/1744] Generating nrniv_py3.10_nrnpython_check_sys_base_prefix/check_sys_attr.hoc
[691/1744] Generating nrniv_py3.10_nrnpython_check_sys_base_prefix/dump_sys_attr.py
[692/1744] Generating nrniv_py3.10_python_check_sys_base_exec_prefix/check_sys_attr.py
[693/1744] Generating nrniv_py3.10_python_check_sys_base_exec_prefix/dump_sys_attr.py
[694/1744] Generating nrniv_py3.10_nrnpython_check_sys_base_exec_prefix/check_sys_attr.hoc
[695/1744] Generating nrniv_py3.10_nrnpython_check_sys_base_exec_prefix/dump_sys_attr.py
[696/1744] Generating nrniv_py3.10_python_check_sys_stderr.encoding/check_sys_attr.py
[697/1744] Generating nrniv_py3.10_python_check_sys_stderr.encoding/dump_sys_attr.py
[698/1744] Generating nrniv_py3.10_nrnpython_check_sys_stderr.encoding/check_sys_attr.hoc
[699/1744] Generating nrniv_py3.10_nrnpython_check_sys_stderr.encoding/dump_sys_attr.py
[700/1744] Building CXX object src/nrniv/CMakeFiles/nocmodl.dir/nocmodl_generated/diffeq.cpp.o
[701/1744] Generating nrniv_py3.10_python_check_sys_stdin.encoding/check_sys_attr.py
[702/1744] Generating nrniv_py3.10_python_check_sys_stdin.encoding/dump_sys_attr.py
[703/1744] Generating nrniv_py3.10_nrnpython_check_sys_stdin.encoding/check_sys_attr.hoc
[704/1744] Generating nrniv_py3.10_nrnpython_check_sys_stdin.encoding/dump_sys_attr.py
[705/1744] Generating nrniv_py3.10_python_check_sys_stdout.encoding/check_sys_attr.py
[706/1744] Generating nrniv_py3.10_python_check_sys_stdout.encoding/dump_sys_attr.py
[707/1744] Generating nrniv_py3.10_nrnpython_check_sys_stdout.encoding/dump_sys_attr.py
[708/1744] Generating nrniv_py3.10_nrnpython_check_sys_stdout.encoding/check_sys_attr.hoc
[709/1744] Generating nrniv_pydef_python_check_sys_path/check_sys_attr.py
[710/1744] Generating nrniv_pydef_python_check_sys_path/dump_sys_attr.py
[711/1744] Generating nrniv_pydef_nrnpython_check_sys_path/check_sys_attr.hoc
[712/1744] Generating nrniv_pydef_python_check_sys_prefix/check_sys_attr.py
[713/1744] Generating nrniv_pydef_nrnpython_check_sys_path/dump_sys_attr.py
[714/1744] Generating nrniv_pydef_python_check_sys_prefix/dump_sys_attr.py
[715/1744] Generating nrniv_pydef_nrnpython_check_sys_prefix/check_sys_attr.hoc
[716/1744] Generating nrniv_pydef_nrnpython_check_sys_prefix/dump_sys_attr.py
[717/1744] Generating nrniv_pydef_python_check_sys_exec_prefix/check_sys_attr.py
[718/1744] Generating nrniv_pydef_python_check_sys_exec_prefix/dump_sys_attr.py
[719/1744] Generating nrniv_pydef_nrnpython_check_sys_exec_prefix/check_sys_attr.hoc
[720/1744] Generating nrniv_pydef_nrnpython_check_sys_exec_prefix/dump_sys_attr.py
[721/1744] Generating nrniv_pydef_python_check_sys_base_prefix/dump_sys_attr.py
[722/1744] Generating nrniv_pydef_python_check_sys_base_prefix/check_sys_attr.py
[723/1744] Generating nrniv_pydef_nrnpython_check_sys_base_prefix/check_sys_attr.hoc
[724/1744] Linking CXX executable bin/nocmodl
[725/1744] Generating nrniv_pydef_nrnpython_check_sys_base_prefix/dump_sys_attr.py
[726/1744] Generating nrniv_pydef_python_check_sys_base_exec_prefix/dump_sys_attr.py
[727/1744] Generating nrniv_pydef_python_check_sys_base_exec_prefix/check_sys_attr.py
[728/1744] Generating ../nrnoc/exp2syn.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/exp2syn.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/exp2syn.cpp
Thread Safe
[729/1744] Generating ../nrnoc/expsyn.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/expsyn.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/expsyn.cpp
Thread Safe
[730/1744] Generating ../nrnoc/feature.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/feature.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/feature.cpp
Notice: VERBATIM blocks are not thread safe
[731/1744] Generating ../nrnoc/apcount.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/apcount.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/apcount.cpp
Thread Safe
[732/1744] Generating ../nrnoc/hh.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/hh.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/hh.cpp
Thread Safe
[733/1744] Generating ../nrnoc/intfire1.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/intfire1.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/intfire1.cpp
Thread Safe
[734/1744] Generating ../nrnoc/intfire2.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/intfire2.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/intfire2.cpp
Thread Safe
[735/1744] Generating ../nrnoc/intfire4.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/intfire4.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/intfire4.cpp
Thread Safe
[736/1744] Generating ../nrnoc/passive.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/passive.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/passive.cpp
Thread Safe
[737/1744] Generating ../nrnoc/oclmp.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/oclmp.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/oclmp.cpp
Thread Safe
[738/1744] Generating ../nrnoc/netstim.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/netstim.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/netstim.cpp
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
[739/1744] Generating ../nrnoc/pattern.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/pattern.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/pattern.cpp
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
[740/1744] Generating ../nrnoc/ppmark.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/ppmark.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/ppmark.cpp
Thread Safe
[741/1744] Generating ../nrnoc/svclmp.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/svclmp.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/svclmp.cpp
Thread Safe
[742/1744] Generating ../nrnoc/stim.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/stim.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/stim.cpp
Thread Safe
[743/1744] Generating nrniv_pydef_nrnpython_check_sys_base_exec_prefix/check_sys_attr.hoc
[744/1744] Generating ../nrnoc/syn.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/syn.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/syn.cpp
Thread Safe
[745/1744] Generating nrniv_pydef_nrnpython_check_sys_base_exec_prefix/dump_sys_attr.py
[746/1744] Generating nrniv_pydef_python_check_sys_stderr.encoding/check_sys_attr.py
[747/1744] Generating nrniv_pydef_python_check_sys_stderr.encoding/dump_sys_attr.py
[748/1744] Generating nrniv_pydef_nrnpython_check_sys_stderr.encoding/check_sys_attr.hoc
[749/1744] Generating nrniv_pydef_nrnpython_check_sys_stderr.encoding/dump_sys_attr.py
[750/1744] Generating nrniv_pydef_python_check_sys_stdin.encoding/check_sys_attr.py
[751/1744] Generating nrniv_pydef_python_check_sys_stdin.encoding/dump_sys_attr.py
[752/1744] Generating nrniv_pydef_nrnpython_check_sys_stdin.encoding/check_sys_attr.hoc
[753/1744] Generating nrniv_pydef_nrnpython_check_sys_stdin.encoding/dump_sys_attr.py
[754/1744] Generating nrniv_pydef_python_check_sys_stdout.encoding/check_sys_attr.py
[755/1744] Generating nrniv_pydef_python_check_sys_stdout.encoding/dump_sys_attr.py
[756/1744] Generating nrniv_pydef_nrnpython_check_sys_stdout.encoding/check_sys_attr.hoc
[757/1744] Generating nrniv_pydef_nrnpython_check_sys_stdout.encoding/dump_sys_attr.py
[758/1744] Generating ../../compare_test_results.py
[759/1744] Generating ../tests/ringtest/reference_data/spk1.100ms.std.ref
[760/1744] Generating ../nrnoc/vclmp.cpp
Translating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/vclmp.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/src/nrnoc/vclmp.cpp
Notice: VERBATIM blocks are not thread safe
Notice: LINEAR is not thread safe.
[761/1744] Generating ../tests/testcorenrn/reference/out_bbcore.spk
[762/1744] Generating ../tests/testcorenrn/reference/out_conc.spk
[763/1744] Generating ../tests/testcorenrn/reference/out_deriv.spk
[764/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/apwindow.cpp.o
[765/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/bndedval.cpp.o
[766/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/cbwidget.cpp.o
[767/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/axis.cpp.o
[768/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/checkpnt.cpp.o
[769/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/epsprint.cpp.o
[770/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/gifimage.cpp.o
[771/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/fourier.cpp.o
[772/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/graphvec.cpp.o
[773/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/graph.cpp.o
[774/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/grglyph.cpp.o
[775/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/grmanip.cpp.o
[776/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/hocmark.cpp.o
[777/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/htlist.cpp.o
[778/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/idraw.cpp.o
[779/1744] Building CXX object external/nmodl/src/pybind/CMakeFiles/_nmodl.dir/pynmodl.cpp.o
[780/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ivocmain.cpp.o
[781/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ivocrand.cpp.o
[782/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/mlinedit.cpp.o
[783/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ivoc.cpp.o
[784/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/mymath.cpp.o
[785/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/objcmd.cpp.o
[786/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/matrix.cpp.o
[787/1744] Building CXX object external/nmodl/src/pybind/CMakeFiles/_nmodl.dir/pyast.cpp.o
[788/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ivocvect.cpp.o
[789/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocbrowsr.cpp.o
[790/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/oc2iv.cpp.o
[791/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocbox.cpp.o
[792/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ochelp.cpp.o
[793/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocdeck.cpp.o
[794/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocfile.cpp.o
[795/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocnoiv1.cpp.o
[796/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocpicker.cpp.o
[797/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/oclist.cpp.o
[798/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocobserv.cpp.o
[799/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/octimer.cpp.o
[800/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/rect.cpp.o
[801/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocpointer.cpp.o
[802/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/pwman.cpp.o
[803/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/rubband.cpp.o
[804/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/scene.cpp.o
[805/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocptrvector.cpp.o
[806/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/scenepic.cpp.o
[807/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/utility.cpp.o
[808/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/xyview.cpp.o
[809/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/symchoos.cpp.o
[810/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/xmenu.cpp.o
[811/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/field.cpp.o
[812/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/xdep.cpp.o
[813/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/cvodestb.cpp.o
[814/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/cvtrset.cpp.o
[815/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/cvodeobj.cpp.o
[816/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/nrndaspk.cpp.o
[817/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/tqueue.cpp.o
[818/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/strfun.cpp.o
[819/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/occvode.cpp.o
[820/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbs.cpp.o
[821/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbsdirect.cpp.o
[822/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbslocal.cpp.o
[823/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/backtrace_utils.cpp.o
[824/1744] Building CXX object external/nmodl/src/pybind/CMakeFiles/_nmodl.dir/pysymtab.cpp.o
[825/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbslsrv.cpp.o
[826/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbslsrv2.cpp.o
[827/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbssrv.cpp.o
[828/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/classreg.cpp.o
[829/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbsrcli.cpp.o
[830/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/finithnd.cpp.o
[831/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/glinerec.cpp.o
[832/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/cxprop.cpp.o
[833/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/datapath.cpp.o
[834/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/bbsavestate.cpp.o
[835/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/hocmech.cpp.o
[836/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/impedanc.cpp.o
[837/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/kssingle.cpp.o
[838/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/linmod.cpp.o
[839/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrncvode/netcvode.cpp.o
[840/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/linmod1.cpp.o
[841/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/memory_usage.cpp.o
[842/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/matrixmap.cpp.o
[843/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/kschan.cpp.o
[844/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/ndatclas.cpp.o
[845/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/neuronapi.cpp.o
[846/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write.cpp.o
[847/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/data/datum_indices.cpp.o
[848/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/multisplit.cpp.o
[849/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/netpar.cpp.o
[850/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/io/nrncore_io.cpp.o
[851/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/data/cell_group.cpp.o
[852/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/utils/nrncore_utils.cpp.o
[853/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrnpy.cpp.o
[854/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrndae.cpp.o
[855/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrncore_write/callbacks/nrncore_callbacks.cpp.o
[856/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrnmenu.cpp.o
[857/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nvector_nrnserial_ld.cpp.o
[858/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nvector_nrnthread.cpp.o
[859/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nvector_nrnthread_ld.cpp.o
[860/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nrnste.cpp.o
[861/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/ocjump.cpp.o
[862/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/ocbbs.cpp.o
[863/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/ppshape.cpp.o
[864/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/rotate3d.cpp.o
[865/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/prcellstate.cpp.o
[866/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/pysecname2sec.cpp.o
[867/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/shape.cpp.o
[868/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/secbrows.cpp.o
[869/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/savstate.cpp.o
[870/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/shapeplt.cpp.o
[871/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/partrans.cpp.o
[872/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/splitcell.cpp.o
[873/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nonlinz.cpp.o
[874/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/symdir.cpp.o
[875/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/spaceplt.cpp.o
[876/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/vrecord.cpp.o
[877/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/capac.cpp.o
[878/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/clamp.cpp.o
[879/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/eion.cpp.o
[880/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/fstim.cpp.o
[881/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/cabcode.cpp.o
[882/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/extcelln.cpp.o
[883/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/fadvance.cpp.o
[884/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/hocprax.cpp.o
[885/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/container.cpp.o
[886/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/membfunc.cpp.o
[887/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/memblist.cpp.o
[888/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/ldifus.cpp.o
[889/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/nrnnemo.cpp.o
[890/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/nrntimeout.cpp.o
[891/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/nrnversion.cpp.o
[892/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/passive0.cpp.o
[893/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/seclist.cpp.o
[894/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/psection.cpp.o
[895/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/point.cpp.o
[896/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/secref.cpp.o
[897/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/synapse.cpp.o
[898/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/init.cpp.o
[899/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/audit.cpp.o
[900/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/axis.cpp.o
[901/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/solve.cpp.o
[902/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/code2.cpp.o
[903/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/debug.cpp.o
[904/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/fileio.cpp.o
[905/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/ftime.cpp.o
[906/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/multicore.cpp.o
[907/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/functabl.cpp.o
[908/1744] Building CXX object external/nmodl/src/pybind/CMakeFiles/_nmodl.dir/pynode_0.cpp.o
[909/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/getsym.cpp.o
[910/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/hocusr.cpp.o
[911/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/treeset.cpp.o
[912/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/hoc.cpp.o
[913/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/hoc_init.cpp.o
[914/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/list.cpp.o
[915/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/mswinprt.cpp.o
[916/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/math.cpp.o
[917/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/memory.cpp.o
[918/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/oc_mcran4.cpp.o
[919/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/ocerf.cpp.o
[920/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/nonlin.cpp.o
[921/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/plot.cpp.o
[922/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/code.cpp.o
[923/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/plt.cpp.o
[924/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/hoc_oop.cpp.o
[925/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/regexp.cpp.o
[926/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/settext.cpp.o
[927/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/scoprand.cpp.o
[928/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/version.cpp.o
[929/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/x.cpp.o
[930/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/symbol.cpp.o
[931/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/oc/xred.cpp.o
[932/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/feature.cpp.o
[933/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/oc_generated/parse.cpp.o
[934/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/apcount.cpp.o
[935/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/exp2syn.cpp.o
[936/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/expsyn.cpp.o
[937/1744] Building CXX object external/nmodl/src/pybind/CMakeFiles/_nmodl.dir/pynode_1.cpp.o
[938/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/intfire1.cpp.o
[939/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/hh.cpp.o
[940/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/intfire2.cpp.o
[941/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/passive.cpp.o
[942/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/intfire4.cpp.o
[943/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/oclmp.cpp.o
[944/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/netstim.cpp.o
[945/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/pattern.cpp.o
[946/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/ppmark.cpp.o
[947/1744] Building CXX object external/nmodl/src/pybind/CMakeFiles/_nmodl.dir/pyvisitor.cpp.o
[948/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/stim.cpp.o
[949/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/abort.cpp.o
[950/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/advance.cpp.o
[951/1744] Linking CXX shared module lib/nmodl/_nmodl.cpython-310-darwin.so
ld: warning: -undefined dynamic_lookup may not work with chained fixups
[952/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/svclmp.cpp.o
[953/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/boundary.cpp.o
[954/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/syn.cpp.o
[955/1744] Linking CXX executable bin/nmodl
[956/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/crank.cpp.o
[957/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/exprand.cpp.o
[958/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/scoperf.cpp.o
[959/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/expfit.cpp.o
[960/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnoc/vclmp.cpp.o
[961/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/f2cmisc.cpp.o
[962/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/gauss.cpp.o
[963/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/factoria.cpp.o
[964/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/force.cpp.o
[965/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/hyperbol.cpp.o
[966/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/getmem.cpp.o
[967/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/invert.cpp.o
[968/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/harmonic.cpp.o
[969/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/lag.cpp.o
[970/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/legendre.cpp.o
[971/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/normrand.cpp.o
[972/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/perpulse.cpp.o
[973/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/perstep.cpp.o
[974/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/poisson.cpp.o
[975/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/poisrand.cpp.o
[976/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/pulse.cpp.o
[977/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/ramp.cpp.o
[978/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/revhyper.cpp.o
[979/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/praxis.cpp.o
[980/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/revsawto.cpp.o
[981/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/sawtooth.cpp.o
[982/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/sigmoid.cpp.o
[983/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/revsigmo.cpp.o
[984/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/romberg.cpp.o
[985/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/bksub.cpp.o
[986/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/lineq.cpp.o
[987/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/spline.cpp.o
[988/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/squarewa.cpp.o
[989/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/step.cpp.o
[990/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/threshol.cpp.o
[991/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/getelm.cpp.o
[992/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvband.c.o
[993/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvbandpre.c.o
[994/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/scopmath/tridiag.cpp.o
[995/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvbbdpre.c.o
[996/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvdiag.c.o
[997/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvdense.c.o
[998/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvspgmr.c.o
[999/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/prmat.cpp.o
[1000/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idabbdpre.c.o
[1001/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idaband.c.o
[1002/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvodesio.c.o
[1003/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sparse/subrows.cpp.o
[1004/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvodea.c.o
[1005/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idadense.c.o
[1006/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idaio.c.o
[1007/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idaic.c.o
[1008/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/idaspgmr.c.o
[1009/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/dense.c.o
[1010/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/band.c.o
[1011/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/nvector.c.o
[1012/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/iterative.c.o
[1013/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/sundialsmath.c.o
[1014/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/smalldense.c.o
[1015/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/spgmr.c.o
[1016/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/nvector_serial.c.o
[1017/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/ida/ida.c.o
[1018/1744] Running nrnivmodl-core with internal mod files
WARNING: No mod files found in '/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/bin', compiling default ones only!
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build MECHLIB_SUFFIX=internal NMODL_BINARY=/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/bin/nmodl MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
[1019/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/shared/nvector_parallel.c.o
[1020/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnmpi/nrnmpi.cpp.o
[1021/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnmpi/memory_usage.cpp.o
[1022/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnmpi/bbsmpipack.cpp.o
[1023/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/nvector_nrnparallel_ld.cpp.o
[1024/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnmpi/mpispike.cpp.o
[1025/1744] Building C object src/nrniv/CMakeFiles/nrniv_lib.dir/__/sundials/cvodes/cvodes.c.o
[1026/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/nrnpython.cpp.o
[1027/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivoc/ocmatrix.cpp.o
[1028/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/nrnpy_p2h.cpp.o
[1029/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/nrnpy_nrn.cpp.o
[1030/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/nrnpy_hoc.cpp.o
[1031/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/grids.cpp.o
[1032/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_intracellular.cpp.o
[1033/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_marching_cubes.cpp.o
[1034/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_llgramarea.cpp.o
[1035/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_vol.cpp.o
[1036/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd_extracellular.cpp.o
[1037/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivos/observe.cpp.o
[1038/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/nrnpython/rxd.cpp.o
[1039/1744] Building CXX object src/nrniv/CMakeFiles/nrniv_lib.dir/__/ivos/resource.cpp.o
[1040/1744] Building CXX object src/nrniv/CMakeFiles/nrniv.dir/__/ivoc/nrnmain.cpp.o
[1041/1744] Building CXX object src/nrniv/CMakeFiles/nrniv.dir/__/oc/ockludge.cpp.o
[1042/1744] Linking CXX shared library lib/libnrniv.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
[1043/1744] Generating inithoc.cpp
[1044/1744] Building CXX object src/nrniv/CMakeFiles/nrniv.dir/__/oc/modlreg.cpp.o
[1045/1744] Linking CXX executable bin/nrniv
[1046/1744] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/basic.cpp.o
[1047/1744] Running utility command for hoc_module
INFO:root:setup.py called with:setup.py build --cmake-build-dir /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build --disable-rx3d --without-nrnpython --build-lib=/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python build_ext --define=NRN_ASAN_ENABLED,USE_PYTHON,NRN_ENABLE_THREADS
INFO:root:Setting SDKROOT=/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk
WARNING:root:You are building a wheel with a Python built for macOS >=12.0.0. Your wheel won't run on older versions, consider using an official Python build from python.org
WARNING:root:Setting MACOSX_DEPLOYMENT_TARGET=12.0
INFO:root:Extension common compile flags defaultdict(<class 'list'>, {'library_dirs': ['/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib'], 'libraries': ['nrniv'], 'language': 'c++'})
INFO:root:RX3D is DISABLED
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/venv/lib/python3.10/site-packages/setuptools/__init__.py:80: _DeprecatedInstaller: setuptools.installer and fetch_build_eggs are deprecated.
!!
********************************************************************************
Requirements should be satisfied by a PEP 517 installer.
If you are using pip, you can try `pip install --use-pep517`.
********************************************************************************
!!
dist.fetch_build_eggs(dist.setup_requires)
WARNING setuptools_scm.pyproject_reading toml section missing 'pyproject.toml does not contain a tool.setuptools_scm section'
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/venv/lib/python3.10/site-packages/setuptools_scm/git.py:163: UserWarning: "/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn" is shallow and may cause errors
warnings.warn(f'"{wd.path}" is shallow and may cause errors')
INFO:root:running build
INFO:root:running build_py
INFO:root:copying share/lib/python/neuron/hclass3.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/expect_hocerr.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/psection.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/config.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/units.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/sections.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/coreneuron.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/doc.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/gui.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/nonvint_block_supervisor.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:creating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/metadata.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/morphml.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/xml2nrn.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/neuroml.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/rdxml.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/biophysics.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:creating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/_subclass.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_all.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_neuron.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_vector.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_rxd.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:creating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/strtobool.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/capture_stdout.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/checkresult.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:creating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxdException.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/options.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/plugins.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxdsection.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/species.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxdmath.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rate.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/region.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/multiCompartmentReaction.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/constants.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/dimension3.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/section1d.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/export.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/initializer.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rangevar.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/geometry.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxd.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/generalizedReaction.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/nodelist.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/node.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/gui.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/reaction.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:creating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/crxd
INFO:root:copying share/lib/python/neuron/crxd/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/crxd
INFO:root:creating /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/setup_threejs.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/config.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/rangevar.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/plotshape.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/utilities.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:running build_ext
INFO:root:==> Building Python extensions
INFO:root:building 'neuron.hoc' extension
INFO:root:/usr/bin/gcc -Wno-unused-result -Wsign-compare -Wunreachable-code -fno-common -dynamic -DNDEBUG -g -fwrapv -O3 -Wall -isysroot /Library/Developer/CommandLineTools/SDKs/MacOSX12.sdk -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -DNRN_ASAN_ENABLED=1 -DUSE_PYTHON=1 -DNRN_ENABLE_THREADS=1 -Isrc -Isrc/oc -Isrc/nrnpython -Isrc/nrnmpi -I/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/src -I/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/src/oc -I/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/src/nrnpython -I/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/src/nrnmpi -I/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/venv/include -I/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/include/python3.10 -c src/nrnpython/inithoc.cpp -o /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/src/nrnpython/inithoc.o -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -std=c++17
INFO:root:/usr/bin/g++ -bundle -undefined dynamic_lookup -isysroot /Library/Developer/CommandLineTools/SDKs/MacOSX12.sdk -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -Wl,-rpath,/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/src/nrnpython/inithoc.o -L/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib -lnrniv -o /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/hoc.cpython-310-darwin.so -fno-omit-frame-pointer -shared-libsan -fsanitize=address -fsanitize-address-use-after-scope -Wl,-rpath,/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/lib/clang/14.0.0/lib/darwin -Wl,-rpath,@loader_path/../../
ld: warning: -undefined dynamic_lookup may not work with chained fixups
INFO:root:running build_scripts
INFO:root:creating build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrniv -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrngui -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nmodl -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrniv-core -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrnpyenv.sh -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrnivmodl-core -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/neurondemo -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/nrnivmodl -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/modlunit -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/idraw -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/sortspike -> build/scripts-3.10
INFO:root:copying and adjusting share/lib/python/scripts/mkthreadsafe -> build/scripts-3.10
INFO:root:changing mode of build/scripts-3.10/nrniv from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrngui from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nmodl from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrniv-core from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrnpyenv.sh from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrnivmodl-core from 644 to 755
INFO:root:changing mode of build/scripts-3.10/neurondemo from 644 to 755
INFO:root:changing mode of build/scripts-3.10/nrnivmodl from 644 to 755
INFO:root:changing mode of build/scripts-3.10/modlunit from 644 to 755
INFO:root:changing mode of build/scripts-3.10/idraw from 644 to 755
INFO:root:changing mode of build/scripts-3.10/sortspike from 644 to 755
INFO:root:changing mode of build/scripts-3.10/mkthreadsafe from 644 to 755
[1048/1744] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/container/generic_data_handle.cpp.o
[1049/1744] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/container/container.cpp.o
[1050/1744] Generating share/nrn/demo/release/arm64/special, share/nrn/demo/release/arm64/libnrnmech.dylib
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/nrn/demo/release
cfiles =
Mod files: "cabpump.mod" "cachan1.mod" "camchan.mod" "capump.mod" "invlfire.mod" "khhchan.mod" "nacaex.mod" "nachan.mod" "release.mod"
MODOBJS= ./cabpump.o ./cachan1.o ./camchan.o ./capump.o ./invlfire.o ./khhchan.o ./nacaex.o ./nachan.o ./release.o
-> Compiling mod_func.cpp
-> NMODL ../cabpump.mod
-> NMODL ../cachan1.mod
-> NMODL ../camchan.mod
Translating camchan.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/camchan.cpp
Translating cabpump.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/cabpump.cpp
Translating cachan1.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/cachan1.cpp
Thread Safe
Notice: Assignment to the GLOBAL variable, "vol", is not thread safe
Thread Safe
-> NMODL ../capump.mod
-> NMODL ../invlfire.mod
-> NMODL ../khhchan.mod
Translating capump.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/capump.cpp
Thread Safe
Translating invlfire.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/invlfire.cpp
Thread Safe
-> NMODL ../nacaex.mod
-> NMODL ../nachan.mod
Translating khhchan.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/khhchan.cpp
Thread Safe
-> NMODL ../release.mod
Translating nachan.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/nachan.cpp
Thread Safe
Translating nacaex.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/nacaex.cpp
Thread Safe
-> Compiling cabpump.cpp
Translating release.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/nrn/demo/release/arm64/release.cpp
Thread Safe
-> Compiling cachan1.cpp
-> Compiling camchan.cpp
-> Compiling capump.cpp
-> Compiling invlfire.cpp
-> Compiling khhchan.cpp
-> Compiling nacaex.cpp
-> Compiling nachan.cpp
-> Compiling release.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1051/1744] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/container/mechanism.cpp.o
[1052/1744] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/utils/enumerate.cpp.o
[1053/1744] Building CXX object test/coreneuron/unit/cmdline_interface/CMakeFiles/cmd_interface_test_bin.dir/test_cmdline_interface.cpp.o
[1054/1744] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/oc/hoc_interpreter.cpp.o
[1055/1744] Linking CXX executable bin/cmd_interface_test_bin
[1056/1744] Generating nrnivmodl/8b70050800897334ac3dcf9bccbec23ce253950bb3247c96e6ab2f9c53f6288c/mcna.mod
[1057/1744] Building CXX object test/coreneuron/unit/interleave_info/CMakeFiles/interleave_info_bin.dir/check_constructors.cpp.o
[1058/1744] Linking CXX executable bin/interleave_info_bin
[1059/1744] Generating nrnivmodl/c448d279dd3b91940d228c42e4a7c280bafe100b4e840f9c938be979cceb8fe0/follower.mod
[1060/1744] Generating nrnivmodl/c448d279dd3b91940d228c42e4a7c280bafe100b4e840f9c938be979cceb8fe0/gap.mod
[1061/1744] Generating nrnivmodl/c448d279dd3b91940d228c42e4a7c280bafe100b4e840f9c938be979cceb8fe0/name_clashes.mod
[1062/1744] Generating nrnivmodl/c448d279dd3b91940d228c42e4a7c280bafe100b4e840f9c938be979cceb8fe0/unitstest.mod
[1063/1744] Generating nrnivmodl/c448d279dd3b91940d228c42e4a7c280bafe100b4e840f9c938be979cceb8fe0/version_macros.mod
[1064/1744] Building CXX object test/coreneuron/unit/alignment/CMakeFiles/alignment_test_bin.dir/alignment.cpp.o
[1065/1744] Linking CXX executable bin/alignment_test_bin
[1066/1744] Generating nrnivmodl/7378b0d1010df9fb9d314452ba3c6ac85ab5bcd507940792ff794d6831e1b453/opaque_token.mod
[1067/1744] Generating nrnivmodl/7378b0d1010df9fb9d314452ba3c6ac85ab5bcd507940792ff794d6831e1b453/ptr.mod
[1068/1744] Building CXX object test/coreneuron/unit/queueing/CMakeFiles/queuing_test_bin.dir/test_queueing.cpp.o
[1069/1744] Linking CXX executable bin/queuing_test_bin
[1070/1744] Generating nrnivmodl/fb5fb42ddab2ff650e8e4e789eb94701174e41ce7edaa51ad58d4fd93f798b51/ppxsrc.mod
[1071/1744] Building CXX object test/coreneuron/unit/lfp/CMakeFiles/lfp_test_bin.dir/lfp.cpp.o
[1072/1744] Linking CXX executable bin/lfp_test_bin
[1073/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/argpass.mod
[1074/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cabpump.mod
[1075/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cachan.mod
[1076/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cacum.mod
[1077/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cacur.mod
[1078/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cadif.mod
[1079/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cadifusl.mod
[1080/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cagk.mod
[1081/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/cagkftab.mod
[1082/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/capmp.mod
[1083/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/expsynspine.mod
[1084/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/fh.mod
[1085/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/fit1.mod
[1086/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/gap.mod
[1087/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/hh1.mod
[1088/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/hhvect.mod
[1089/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/inatest.mod
[1090/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/ionleak.mod
[1091/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/nacum.mod
[1092/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/nacur.mod
[1093/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/nadifl.mod
[1094/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/nonlin.mod
[1095/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/obj_ex.mod
[1096/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/order.mod
[1097/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/passiv.mod
[1098/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/rchan.mod
[1099/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/standard.inc
[1100/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/stim1.mod
[1101/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/str.mod
[1102/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/syn1.mod
[1103/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/synpre.mod
[1104/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/trivial.mod
[1105/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstdarray.mod
[1106/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstextrn.mod
[1107/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstpnt1.mod
[1108/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstpnt2.mod
[1109/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/tstvec.mod
[1110/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/vclmp1.mod
[1111/1744] Generating nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/vlag.mod
[1112/1744] Building special[-core] for test group datahandle
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/7378b0d1010df9fb9d314452ba3c6ac85ab5bcd507940792ff794d6831e1b453
cfiles =
Mod files: "./opaque_token.mod" "./ptr.mod"
MODOBJS= ./opaque_token.o ./ptr.o
-> NMODL .././opaque_token.mod
-> Compiling mod_func.cpp
-> NMODL .././ptr.mod
Translating opaque_token.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/7378b0d1010df9fb9d314452ba3c6ac85ab5bcd507940792ff794d6831e1b453/arm64/opaque_token.cpp
Notice: VERBATIM blocks are not thread safe
Translating ptr.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/7378b0d1010df9fb9d314452ba3c6ac85ab5bcd507940792ff794d6831e1b453/arm64/ptr.cpp
Notice: Use of POINTER is not thread safe.
-> Compiling opaque_token.cpp
-> Compiling ptr.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1113/1744] Generating datahandle/datahandle_tests/test/datahandle/test_1.py
[1114/1744] Generating datahandle/datahandle_tests/test/datahandle/test_token.py
[1115/1744] Generating nrnivmodl/9dd02278b0e431bad340bd82da603803632bc7acfce27d1f6b829b5bd260004f/atest.mod
[1116/1744] Generating nrnivmodl/9dd02278b0e431bad340bd82da603803632bc7acfce27d1f6b829b5bd260004f/sdata.inc
[1117/1744] Generating nrnivmodl/9dd02278b0e431bad340bd82da603803632bc7acfce27d1f6b829b5bd260004f/sdata.mod
[1118/1744] Generating nrnivmodl/9dd02278b0e431bad340bd82da603803632bc7acfce27d1f6b829b5bd260004f/sdata_pp.mod
[1119/1744] Generating nrnivmodl/9dd02278b0e431bad340bd82da603803632bc7acfce27d1f6b829b5bd260004f/sdata_ppts.mod
[1120/1744] Generating nrnivmodl/9dd02278b0e431bad340bd82da603803632bc7acfce27d1f6b829b5bd260004f/sdata_ts.mod
[1121/1744] Building special[-core] for test group pytest
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/8b70050800897334ac3dcf9bccbec23ce253950bb3247c96e6ab2f9c53f6288c
cfiles =
Mod files: "./mcna.mod"
MODOBJS= ./mcna.o
-> Compiling mod_func.cpp
-> NMODL .././mcna.mod
Translating mcna.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/8b70050800897334ac3dcf9bccbec23ce253950bb3247c96e6ab2f9c53f6288c/arm64/mcna.cpp
Thread Safe
-> Compiling mcna.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1122/1744] Generating pytest/basic_tests_py3.10/test/pytest/test_nrntest_thread.json
[1123/1744] Generating pytest/basic_tests_py3.10/test/pytest/test_nrntest_thread.py
[1124/1744] Generating nrnivmodl/5b499c48b620b3f996279dafe88ff900a32797c8807f2acc88ebe24f2d04a100/follower.mod
[1125/1744] Generating nrnivmodl/5b499c48b620b3f996279dafe88ff900a32797c8807f2acc88ebe24f2d04a100/gap.mod
[1126/1744] Generating nrnivmodl/5b499c48b620b3f996279dafe88ff900a32797c8807f2acc88ebe24f2d04a100/name_clashes.mod
[1127/1744] Generating nrnivmodl/5b499c48b620b3f996279dafe88ff900a32797c8807f2acc88ebe24f2d04a100/unitstest.mod
[1128/1744] Generating nrnivmodl/5b499c48b620b3f996279dafe88ff900a32797c8807f2acc88ebe24f2d04a100/version_macros.mod
[1129/1744] Building special[-core] for test group coverage_tests
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/fb5fb42ddab2ff650e8e4e789eb94701174e41ce7edaa51ad58d4fd93f798b51
cfiles =
Mod files: "./ppxsrc.mod"
MODOBJS= ./ppxsrc.o
-> Compiling mod_func.cpp
-> NMODL .././ppxsrc.mod
Translating ppxsrc.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/fb5fb42ddab2ff650e8e4e789eb94701174e41ce7edaa51ad58d4fd93f798b51/arm64/ppxsrc.cpp
Thread Safe
-> Compiling ppxsrc.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1130/1744] Generating coverage_tests/cover_tests/test/cover/test_netcvode.json
[1131/1744] Generating coverage_tests/cover_tests/test/cover/test_netcvode.py
[1132/1744] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/cadif.mod
[1133/1744] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/disc.mod
[1134/1744] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/fornetcon.mod
[1135/1744] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/hhwatch.mod
[1136/1744] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/k3st.mod
[1137/1744] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/table.mod
[1138/1744] Generating nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/variabletypes.mod
[1139/1744] Building CXX object test/coreneuron/unit/solver/CMakeFiles/test-solver.dir/test_solver.cpp.o
[1140/1744] Linking CXX executable bin/test-solver
[1141/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/axial.inc
[1142/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/axial.mod
[1143/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/axial_pp.mod
[1144/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/bacur.mod
[1145/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/banocur.mod
[1146/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/fornetcon.mod
[1147/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/invlfire.mod
[1148/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/natrans.mod
[1149/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/netmove.mod
[1150/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/sample.mod
[1151/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/unitstest.mod
[1152/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/version_macros.mod
[1153/1744] Generating nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/watchrange.mod
[1154/1744] Building CXX object test/CMakeFiles/nrn-benchmarks.dir/benchmarks/threads/test_multicore.cpp.o
[1155/1744] Building CXX object test/CMakeFiles/testneuron.dir/unit_tests/container/node.cpp.o
[1156/1744] Building CXX object test/api/CMakeFiles/hh_sim_cpp.dir/hh_sim.cpp.o
[1157/1744] Linking CXX executable bin/test/hh_sim_cpp
[1158/1744] Building special[-core] for test group parallel
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/5b499c48b620b3f996279dafe88ff900a32797c8807f2acc88ebe24f2d04a100
cfiles =
Mod files: "./follower.mod" "./gap.mod" "./name_clashes.mod" "./unitstest.mod" "./version_macros.mod"
MODOBJS= ./follower.o ./gap.o ./name_clashes.o ./unitstest.o ./version_macros.o
-> Compiling mod_func.cpp
-> NMODL .././follower.mod
-> NMODL .././gap.mod
-> NMODL .././name_clashes.mod
Translating follower.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/5b499c48b620b3f996279dafe88ff900a32797c8807f2acc88ebe24f2d04a100/arm64/follower.cpp
Thread Safe
-> NMODL .././unitstest.mod
Translating name_clashes.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/5b499c48b620b3f996279dafe88ff900a32797c8807f2acc88ebe24f2d04a100/arm64/name_clashes.cpp
Thread Safe
Translating gap.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/5b499c48b620b3f996279dafe88ff900a32797c8807f2acc88ebe24f2d04a100/arm64/gap.cpp
Thread Safe
-> NMODL .././version_macros.mod
-> Compiling follower.cpp
Translating unitstest.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/5b499c48b620b3f996279dafe88ff900a32797c8807f2acc88ebe24f2d04a100/arm64/unitstest.cpp
Thread Safe
-> Compiling gap.cpp
Translating version_macros.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/5b499c48b620b3f996279dafe88ff900a32797c8807f2acc88ebe24f2d04a100/arm64/version_macros.cpp
Thread Safe
-> Compiling name_clashes.cpp
-> Compiling unitstest.cpp
-> Compiling version_macros.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1159/1744] Generating parallel/subworld/test/parallel_tests/test_subworld.py
[1160/1744] Generating parallel/partrans/test/pytest_coreneuron/test_partrans.py
[1161/1744] Generating parallel/netpar/test/pytest_coreneuron/test_hoc_po.py
[1162/1744] Generating parallel/netpar/test/pytest_coreneuron/test_netpar.py
[1163/1744] Generating parallel/bas/test/parallel_tests/test_bas.py
[1164/1744] Generating parallel/nrntest_fast/test/pytest_coreneuron/run_pytest.py
[1165/1744] Generating parallel/nrntest_fast/test/pytest_coreneuron/test_nrntest_fast.json
[1166/1744] Generating parallel/nrntest_fast/test/pytest_coreneuron/test_nrntest_fast.py
[1167/1744] Building C object test/api/CMakeFiles/sections_c.dir/sections.c.o
[1168/1744] Building special[-core] for test group hoctests
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/9dd02278b0e431bad340bd82da603803632bc7acfce27d1f6b829b5bd260004f
cfiles =
Mod files: "./atest.mod" "./sdata.mod" "./sdata_pp.mod" "./sdata_ppts.mod" "./sdata_ts.mod"
MODOBJS= ./atest.o ./sdata.o ./sdata_pp.o ./sdata_ppts.o ./sdata_ts.o
-> Compiling mod_func.cpp
-> NMODL .././atest.mod
-> NMODL .././sdata.mod
-> NMODL .././sdata_pp.mod
Translating sdata.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/9dd02278b0e431bad340bd82da603803632bc7acfce27d1f6b829b5bd260004f/arm64/sdata.cpp
INCLUDEing sdata.inc
Notice: Use of POINTER is not thread safe.
Notice: VERBATIM blocks are not thread safe
Translating sdata_pp.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/9dd02278b0e431bad340bd82da603803632bc7acfce27d1f6b829b5bd260004f/arm64/sdata_pp.cpp
INCLUDEing sdata.inc
Notice: Use of POINTER is not thread safe.
Notice: VERBATIM blocks are not thread safe
-> NMODL .././sdata_ppts.mod
Translating atest.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/9dd02278b0e431bad340bd82da603803632bc7acfce27d1f6b829b5bd260004f/arm64/atest.cpp
Thread Safe
-> NMODL .././sdata_ts.mod
-> Compiling atest.cpp
Translating sdata_ppts.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/9dd02278b0e431bad340bd82da603803632bc7acfce27d1f6b829b5bd260004f/arm64/sdata_ppts.cpp
INCLUDEing sdata.inc
Thread Safe
-> Compiling sdata.cpp
Translating sdata_ts.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/9dd02278b0e431bad340bd82da603803632bc7acfce27d1f6b829b5bd260004f/arm64/sdata_ts.cpp
INCLUDEing sdata.inc
Thread Safe
-> Compiling sdata_pp.cpp
-> Compiling sdata_ppts.cpp
-> Compiling sdata_ts.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1169/1744] Linking CXX executable bin/test/sections_c
[1170/1744] Generating hoctests/test_loadbal_hoc/expect_err.hoc
[1171/1744] Generating hoctests/test_loadbal_hoc/tests/test_loadbal.hoc
[1172/1744] Generating hoctests/perf1_hoc/expect_err.hoc
[1173/1744] Generating hoctests/perf1_hoc/vardimtests/perf1.hoc
[1174/1744] Generating hoctests/test1_hoc/expect_err.hoc
[1175/1744] Generating hoctests/test1_hoc/vardimtests/test1.hoc
[1176/1744] Generating hoctests/test2_hoc/expect_err.hoc
[1177/1744] Generating hoctests/test2_hoc/vardimtests/test2.hoc
[1178/1744] Generating hoctests/test3_hoc/expect_err.hoc
[1179/1744] Generating hoctests/test3_hoc/vardimtests/test3.hoc
[1180/1744] Generating hoctests/test4_hoc/expect_err.hoc
[1181/1744] Generating hoctests/test4_hoc/vardimtests/test4.hoc
[1182/1744] Generating hoctests/test5_hoc/expect_err.hoc
[1183/1744] Generating hoctests/test5_hoc/vardimtests/test5.hoc
[1184/1744] Generating hoctests/test6_hoc/expect_err.hoc
[1185/1744] Generating hoctests/test6_hoc/vardimtests/test6.hoc
[1186/1744] Generating hoctests/test7_hoc/expect_err.hoc
[1187/1744] Generating hoctests/test7_hoc/vardimtests/test7.hoc
[1188/1744] Generating hoctests/test8_hoc/expect_err.hoc
[1189/1744] Generating hoctests/test8_hoc/vardimtests/test8.hoc
[1190/1744] Generating hoctests/test9_hoc/expect_err.hoc
[1191/1744] Generating hoctests/test9_hoc/vardimtests/test9.hoc
[1192/1744] Generating hoctests/test_cvinterp_py/tests/test_cvinterp.py
[1193/1744] Generating hoctests/test_kschan_py/tests/test_kschan.json
[1194/1744] Generating hoctests/test_hocGUI2_py/tests/test_hocGUI2.py
[1195/1744] Generating hoctests/test_kschan_py/tests/test_kschan.py
[1196/1744] Generating hoctests/test_mechfunc_py/tests/test_mechfunc.py
[1197/1744] Generating hoctests/test_mode_py/tests/test_mode.py
[1198/1744] Generating hoctests/test_neurondemo_py/tests/test_neurondemo.json
[1199/1744] Generating hoctests/test_neurondemo_py/tests/test_neurondemo.py
[1200/1744] Generating hoctests/test_nrniv-launch_py/tests/test_nrniv-launch.py
[1201/1744] Generating hoctests/test_setdata_py/tests/test_setdata.py
[1202/1744] Generating hoctests/test_shape_py/tests/test_shape.py
[1203/1744] Generating hoctests/test_thread_partition_py/tests/test_thread_partition.py
[1204/1744] Generating hoctests/test1_py/vardimtests/test1.py
[1205/1744] Generating hoctests/test2_py/vardimtests/test2.py
[1206/1744] Generating hoctests/test9_py/vardimtests/test9.py
[1207/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_Ca.mod
[1208/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_CadepK.mod
[1209/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_KA.mod
[1210/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_KDRf.mod
[1211/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_KDRs.mod
[1212/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Aradi_Na.mod
[1213/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/CaBK.mod
[1214/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/DGC_M.mod
[1215/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/DGC_UK.mod
[1216/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/DGC_sAHP.mod
[1217/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/Gfluct3.mod
[1218/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/LcaMig.mod
[1219/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/SH_KIn.mod
[1220/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/SH_na8st.mod
[1221/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/bgka.mod
[1222/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/ccanl.mod
[1223/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/ggap.mod
[1224/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/gskch.mod
[1225/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/holdingi.mod
[1226/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/hyperde3.mod
[1227/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/ichan2.mod
[1228/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/iconc_Ca.mod
[1229/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/kcaPR.mod
[1230/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/na3n.mod
[1231/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/nca.mod
[1232/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/spines.mod
[1233/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/tca.mod
[1234/1744] Generating ../../nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/vecevent.mod
[1235/1744] Building CXX object test/api/CMakeFiles/netcon_cpp.dir/netcon.cpp.o
[1236/1744] Linking CXX executable bin/test/netcon_cpp
[1237/1744] Generating ../../nrnivmodl/a9c54b18e4b54351cb4fd3e79067cafa02da4514b4383a54de8ff121657615ff/halfgap.mod
[1238/1744] Building special[-core] for test group nmodl_tests
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5
cfiles =
Mod files: "./cadif.mod" "./disc.mod" "./fornetcon.mod" "./hhwatch.mod" "./k3st.mod" "./table.mod" "./variabletypes.mod"
MODOBJS= ./cadif.o ./disc.o ./fornetcon.o ./hhwatch.o ./k3st.o ./table.o ./variabletypes.o
-> Compiling mod_func.cpp
-> NMODL .././cadif.mod
-> NMODL .././disc.mod
-> NMODL .././fornetcon.mod
Translating cadif.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/cadif.cpp
Translating fornetcon.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/fornetcon.cpp
Thread Safe
Thread Safe
-> NMODL .././hhwatch.mod
-> NMODL .././k3st.mod
Translating disc.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/disc.cpp
Notice: DISCRETE is not thread safe.
Notice: This mechanism cannot be used with CVODE
-> NMODL .././table.mod
Translating hhwatch.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/hhwatch.cpp
Thread Safe
Translating table.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/table.cpp
Thread Safe
-> NMODL .././variabletypes.mod
-> Compiling cadif.cpp
Translating k3st.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/k3st.cpp
NEURON's CVode method ignores conservation
Thread Safe
-> Compiling disc.cpp
Translating variabletypes.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/ae2c9b73c9a624bce358af1b0042b70ba7c70ce86d0fb0bf97de8ac420156de5/arm64/variabletypes.cpp
Thread Safe
-> Compiling fornetcon.cpp
-> Compiling hhwatch.cpp
-> Compiling k3st.cpp
-> Compiling table.cpp
-> Compiling variabletypes.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1239/1744] Generating nmodl_tests/test_table/test/nmodl/test_table.py
[1240/1744] Generating nmodl_tests/test_disc/test/nmodl/test_disc.py
[1241/1744] Generating nmodl_tests/test_function_table/test/nmodl/test_function_table.py
[1242/1744] Generating nmodl_tests/test_kinetic/test/nmodl/test_kinetic.py
[1243/1744] Generating nmodl_tests_coreneuron/test_table_coreneuron_cpu/test/nmodl/test_table.py
[1244/1744] Building CXX object test/api/CMakeFiles/vclamp_cpp.dir/vclamp.cpp.o
[1245/1744] Generating nmodl_tests_coreneuron/test_disc_coreneuron_cpu/test/nmodl/test_disc.py
[1246/1744] Generating nmodl_tests_coreneuron/test_function_table_coreneuron_cpu/test/nmodl/test_function_table.py
[1247/1744] Generating nmodl_tests_coreneuron/test_kinetic_coreneuron_cpu/test/nmodl/test_kinetic.py
[1248/1744] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/Gfluct3.mod
[1249/1744] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/hhderiv.mod
[1250/1744] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/hhkin.mod
[1251/1744] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/hhwatch.mod
[1252/1744] Linking CXX executable bin/test/vclamp_cpp
[1253/1744] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/vecevent.mod
[1254/1744] Generating ../../nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/nacum.mod
[1255/1744] Generating ../tests/testcorenrn/reference/out_gf.spk
[1256/1744] Generating ../tests/testcorenrn/reference/out_kin.spk
[1257/1744] Generating ../tests/testcorenrn/reference/out_patstim.spk
[1258/1744] Generating ../tests/testcorenrn/reference/out_vecplay.spk
[1259/1744] Generating ../tests/testcorenrn/reference/out_vecevent.spk
[1260/1744] Generating ../tests/testcorenrn/reference/out_watch.spk
[1261/1744] Generating ../tests/testcorenrn/reference/out_netstimdirect.spk
[1262/1744] Generating ../../nrnivmodl/358a2005c5c0c89444ba4a268056fedbea5728b0d3948c6488fe911d6bafc951/invlfire.mod
[1263/1744] Generating ../../nrnivmodl/358a2005c5c0c89444ba4a268056fedbea5728b0d3948c6488fe911d6bafc951/invlfiresha.mod
[1264/1744] Generating ../../nrnivmodl/358a2005c5c0c89444ba4a268056fedbea5728b0d3948c6488fe911d6bafc951/invlfirestats.mod
[1265/1744] Building special[-core] for test group pytest_coreneuron
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/c448d279dd3b91940d228c42e4a7c280bafe100b4e840f9c938be979cceb8fe0
cfiles =
Mod files: "./follower.mod" "./gap.mod" "./name_clashes.mod" "./unitstest.mod" "./version_macros.mod"
MODOBJS= ./follower.o ./gap.o ./name_clashes.o ./unitstest.o ./version_macros.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/follower.mod
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/gap.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/name_clashes.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/version_macros.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/unitstest.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././gap.mod
-> NMODL .././follower.mod
-> NMODL .././name_clashes.mod
Translating gap.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/c448d279dd3b91940d228c42e4a7c280bafe100b4e840f9c938be979cceb8fe0/arm64/gap.cpp
Translating follower.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/c448d279dd3b91940d228c42e4a7c280bafe100b4e840f9c938be979cceb8fe0/arm64/follower.cpp
Thread Safe
Thread Safe
-> NMODL .././unitstest.mod
-> NMODL .././version_macros.mod
Translating name_clashes.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/c448d279dd3b91940d228c42e4a7c280bafe100b4e840f9c938be979cceb8fe0/arm64/name_clashes.cpp
Thread Safe
-> Compiling follower.cpp
Translating unitstest.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/c448d279dd3b91940d228c42e4a7c280bafe100b4e840f9c938be979cceb8fe0/arm64/unitstest.cpp
Thread Safe
-> Compiling gap.cpp
Translating version_macros.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/c448d279dd3b91940d228c42e4a7c280bafe100b4e840f9c938be979cceb8fe0/arm64/version_macros.cpp
Thread Safe
-> Compiling name_clashes.cpp
-> Compiling unitstest.cpp
-> Compiling version_macros.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1266/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/gui_pycallobject.py
[1267/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/run_pytest.py
[1268/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_a_neuronoptions.py
[1269/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_basic.py
[1270/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_bbss.py
[1271/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_fast_imem.py
[1272/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_hoc_po.py
[1273/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_inheritance.py
[1274/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_loadbal.py
[1275/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_memory_usage.py
[1276/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_multigid.py
[1277/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_netpar.py
[1278/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_nlayer.py
[1279/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_nrnste.py
[1280/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_nrntest_fast.json
[1281/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_nrntest_fast.py
[1282/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_partrans.py
[1283/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_py2nrnstring.py
[1284/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_pycallobject.py
[1285/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_pyobj.py
[1286/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_swc.py
[1287/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_template_err.py
[1288/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_vector_api.py
[1289/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_version_macros.py
[1290/1744] Generating pytest_coreneuron/basic_tests_py3.10/test/pytest_coreneuron/test_zptrlist.py
[1291/1744] Building CXX object test/CMakeFiles/testneuron.dir/common/catch2_main.cpp.o
[1292/1744] Linking CXX executable bin/test/testneuron
[1293/1744] Building special[-core] for test group external_ringtest
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/a9c54b18e4b54351cb4fd3e79067cafa02da4514b4383a54de8ff121657615ff
cfiles =
Mod files: "./halfgap.mod"
MODOBJS= ./halfgap.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/halfgap.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././halfgap.mod
Translating halfgap.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/a9c54b18e4b54351cb4fd3e79067cafa02da4514b4383a54de8ff121657615ff/arm64/halfgap.cpp
Thread Safe
-> Compiling halfgap.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1294/1744] Generating ../../external_ringtest/neuron/args.py
[1295/1744] Generating ../../external_ringtest/neuron/cell.hoc
[1296/1744] Generating ../../external_ringtest/neuron/celluniform.hoc
[1297/1744] Generating ../../external_ringtest/neuron/commonutils.py
[1298/1744] Generating ../../external_ringtest/neuron/ranparm.py
[1299/1744] Generating ../../external_ringtest/neuron/ring.py
[1300/1744] Generating ../../external_ringtest/neuron/ringtest.py
[1301/1744] Generating ../../external_ringtest/neuron/ringuniform.py
[1302/1744] Generating ../../external_ringtest/neuron/settings.py
[1303/1744] Generating ../../external_ringtest/neuron_mpi/cell.hoc
[1304/1744] Generating ../../external_ringtest/neuron_mpi/args.py
[1305/1744] Generating ../../external_ringtest/neuron_mpi/celluniform.hoc
[1306/1744] Generating ../../external_ringtest/neuron_mpi/commonutils.py
[1307/1744] Generating ../../external_ringtest/neuron_mpi/ranparm.py
[1308/1744] Generating ../../external_ringtest/neuron_mpi/ring.py
[1309/1744] Generating ../../external_ringtest/neuron_mpi/ringtest.py
[1310/1744] Generating ../../external_ringtest/neuron_mpi/ringuniform.py
[1311/1744] Generating ../../external_ringtest/neuron_mpi/settings.py
[1312/1744] Generating ../../external_ringtest/neuron_mpi_python/args.py
[1313/1744] Generating ../../external_ringtest/neuron_mpi_python/cell.hoc
[1314/1744] Generating ../../external_ringtest/neuron_mpi_python/celluniform.hoc
[1315/1744] Generating ../../external_ringtest/neuron_mpi_python/commonutils.py
[1316/1744] Generating ../../external_ringtest/neuron_mpi_python/ranparm.py
[1317/1744] Generating ../../external_ringtest/neuron_mpi_python/ring.py
[1318/1744] Generating ../../external_ringtest/neuron_mpi_python/ringtest.py
[1319/1744] Generating ../../external_ringtest/neuron_mpi_python/ringuniform.py
[1320/1744] Generating ../../external_ringtest/neuron_mpi_python/settings.py
[1321/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/args.py
[1322/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/cell.hoc
[1323/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/celluniform.hoc
[1324/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/commonutils.py
[1325/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/ranparm.py
[1326/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/ring.py
[1327/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/ringtest.py
[1328/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/ringuniform.py
[1329/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline_saverestore/settings.py
[1330/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi/args.py
[1331/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi/cell.hoc
[1332/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi/celluniform.hoc
[1333/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi/commonutils.py
[1334/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi/ranparm.py
[1335/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi/ring.py
[1336/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi/ringtest.py
[1337/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi/ringuniform.py
[1338/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/args.py
[1339/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi/settings.py
[1340/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/cell.hoc
[1341/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/celluniform.hoc
[1342/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/commonutils.py
[1343/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/ranparm.py
[1344/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/ring.py
[1345/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/ringtest.py
[1346/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/ringuniform.py
[1347/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_python/settings.py
[1348/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/args.py
[1349/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/cell.hoc
[1350/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/celluniform.hoc
[1351/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/commonutils.py
[1352/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/ranparm.py
[1353/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/ring.py
[1354/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/ringtest.py
[1355/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/ringuniform.py
[1356/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/args.py
[1357/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_offline/settings.py
[1358/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/cell.hoc
[1359/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/commonutils.py
[1360/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/celluniform.hoc
[1361/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/ring.py
[1362/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/ranparm.py
[1363/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/ringtest.py
[1364/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/ringuniform.py
[1365/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads/settings.py
[1366/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/args.py
[1367/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/cell.hoc
[1368/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/celluniform.hoc
[1369/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/commonutils.py
[1370/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/ranparm.py
[1371/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/ring.py
[1372/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/ringtest.py
[1373/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/ringuniform.py
[1374/1744] Generating ../../external_ringtest/coreneuron_cpu_mpi_threads_python/settings.py
[1375/1744] Building special[-core] for test group tqperf
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/358a2005c5c0c89444ba4a268056fedbea5728b0d3948c6488fe911d6bafc951
cfiles =
Mod files: "./invlfire.mod" "./invlfiresha.mod" "./invlfirestats.mod"
MODOBJS= ./invlfire.o ./invlfiresha.o ./invlfirestats.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build INCFLAGS=-I/opt/homebrew/Cellar/openssl@3/3.2.0_1/include LINKFLAGS=/opt/homebrew/Cellar/openssl@3/3.2.0_1/lib/libcrypto.dylib MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/invlfire.mod
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to specify_invl
[NMODL] [warning] :: Can not inline function call to specify_invl
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/invlfiresha.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/invlfirestats.mod
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [warning] :: Can not inline function call to specify_invl
[NMODL] [warning] :: Can not inline function call to specify_invl
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to specify_invl
[NMODL] [warning] :: Can not inline function call to specify_invl
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
arm64/corenrn/mod2c/invlfiresha.cpp:308:16: warning: 'SHA1_Init' is deprecated [-Wdeprecated-declarations]
int status = SHA1_Init((SHA_CTX*)(*ctx));
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/sha.h:49:1: note: 'SHA1_Init' has been explicitly marked deprecated here
OSSL_DEPRECATEDIN_3_0 int SHA1_Init(SHA_CTX *c);
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/macros.h:194:49: note: expanded from macro 'OSSL_DEPRECATEDIN_3_0'
# define OSSL_DEPRECATEDIN_3_0 OSSL_DEPRECATED(3.0)
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/macros.h:62:52: note: expanded from macro 'OSSL_DEPRECATED'
# define OSSL_DEPRECATED(since) __attribute__((deprecated))
^
arm64/corenrn/mod2c/invlfiresha.cpp:313:16: warning: 'SHA1_Update' is deprecated [-Wdeprecated-declarations]
int status = SHA1_Update((SHA_CTX*)ctx, data, len);
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/sha.h:50:1: note: 'SHA1_Update' has been explicitly marked deprecated here
OSSL_DEPRECATEDIN_3_0 int SHA1_Update(SHA_CTX *c, const void *data, size_t len);
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/macros.h:194:49: note: expanded from macro 'OSSL_DEPRECATEDIN_3_0'
# define OSSL_DEPRECATEDIN_3_0 OSSL_DEPRECATED(3.0)
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/macros.h:62:52: note: expanded from macro 'OSSL_DEPRECATED'
# define OSSL_DEPRECATED(since) __attribute__((deprecated))
^
arm64/corenrn/mod2c/invlfiresha.cpp:324:16: warning: 'SHA1_Final' is deprecated [-Wdeprecated-declarations]
int status = SHA1_Final(u.md, (SHA_CTX*)ctx);
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/sha.h:51:1: note: 'SHA1_Final' has been explicitly marked deprecated here
OSSL_DEPRECATEDIN_3_0 int SHA1_Final(unsigned char *md, SHA_CTX *c);
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/macros.h:194:49: note: expanded from macro 'OSSL_DEPRECATEDIN_3_0'
# define OSSL_DEPRECATEDIN_3_0 OSSL_DEPRECATED(3.0)
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/macros.h:62:52: note: expanded from macro 'OSSL_DEPRECATED'
# define OSSL_DEPRECATED(since) __attribute__((deprecated))
^
3 warnings generated.
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././invlfire.mod
-> NMODL .././invlfiresha.mod
-> NMODL .././invlfirestats.mod
Translating invlfirestats.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/358a2005c5c0c89444ba4a268056fedbea5728b0d3948c6488fe911d6bafc951/arm64/invlfirestats.cpp
Translating invlfiresha.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/358a2005c5c0c89444ba4a268056fedbea5728b0d3948c6488fe911d6bafc951/arm64/invlfiresha.cpp
Translating invlfire.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/358a2005c5c0c89444ba4a268056fedbea5728b0d3948c6488fe911d6bafc951/arm64/invlfire.cpp
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
-> Compiling invlfire.cpp
-> Compiling invlfiresha.cpp
-> Compiling invlfirestats.cpp
invlfiresha.cpp:358:16: warning: 'SHA1_Init' is deprecated [-Wdeprecated-declarations]
int status = SHA1_Init((SHA_CTX*)(*ctx));
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/sha.h:49:1: note: 'SHA1_Init' has been explicitly marked deprecated here
OSSL_DEPRECATEDIN_3_0 int SHA1_Init(SHA_CTX *c);
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/macros.h:194:49: note: expanded from macro 'OSSL_DEPRECATEDIN_3_0'
# define OSSL_DEPRECATEDIN_3_0 OSSL_DEPRECATED(3.0)
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/macros.h:62:52: note: expanded from macro 'OSSL_DEPRECATED'
# define OSSL_DEPRECATED(since) __attribute__((deprecated))
^
invlfiresha.cpp:363:16: warning: 'SHA1_Update' is deprecated [-Wdeprecated-declarations]
int status = SHA1_Update((SHA_CTX*)ctx, data, len);
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/sha.h:50:1: note: 'SHA1_Update' has been explicitly marked deprecated here
OSSL_DEPRECATEDIN_3_0 int SHA1_Update(SHA_CTX *c, const void *data, size_t len);
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/macros.h:194:49: note: expanded from macro 'OSSL_DEPRECATEDIN_3_0'
# define OSSL_DEPRECATEDIN_3_0 OSSL_DEPRECATED(3.0)
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/macros.h:62:52: note: expanded from macro 'OSSL_DEPRECATED'
# define OSSL_DEPRECATED(since) __attribute__((deprecated))
^
invlfiresha.cpp:374:16: warning: 'SHA1_Final' is deprecated [-Wdeprecated-declarations]
int status = SHA1_Final(u.md, (SHA_CTX*)ctx);
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/sha.h:51:1: note: 'SHA1_Final' has been explicitly marked deprecated here
OSSL_DEPRECATEDIN_3_0 int SHA1_Final(unsigned char *md, SHA_CTX *c);
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/macros.h:194:49: note: expanded from macro 'OSSL_DEPRECATEDIN_3_0'
# define OSSL_DEPRECATEDIN_3_0 OSSL_DEPRECATED(3.0)
^
/opt/homebrew/Cellar/openssl@3/3.2.0_1/include/openssl/macros.h:62:52: note: expanded from macro 'OSSL_DEPRECATED'
# define OSSL_DEPRECATED(since) __attribute__((deprecated))
^
3 warnings generated.
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/Cellar/openssl@3/3.2.0_1/lib/libcrypto.dylib /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1376/1744] Generating ../../tqperf/coreneuron_special/extracell.hoc
[1377/1744] Generating ../../tqperf/coreneuron_special/init.hoc
[1378/1744] Generating ../../tqperf/coreneuron_special/invl.hoc
[1379/1744] Generating ../../tqperf/coreneuron_special/mosinit.hoc
[1380/1744] Generating ../../tqperf/coreneuron_special/movie.hoc
[1381/1744] Generating ../../tqperf/coreneuron_special/net.hoc
[1382/1744] Generating ../../tqperf/coreneuron_special/param.hoc
[1383/1744] Generating ../../tqperf/coreneuron_special/perfrun.hoc
[1384/1744] Generating ../../tqperf/coreneuron_special/pltq.hoc
[1385/1744] Generating ../../tqperf/coreneuron_special/randist.hoc
[1386/1744] Generating ../../tqperf/coreneuron_special/run.hoc
[1387/1744] Generating ../../tqperf/coreneuron_special/run128.hoc
[1388/1744] Generating ../../tqperf/coreneuron_special/runs.hoc
[1389/1744] Generating ../../tqperf/coreneuron_special/spike2file.hoc
[1390/1744] Generating ../../tqperf/coreneuron_special/table.hoc
[1391/1744] Generating ../../tqperf/coreneuron_special/spkplt.hoc
[1392/1744] Generating ../../tqperf/coreneuron_special/test1.py
[1393/1744] Generating ../../tqperf/coreneuron_special/tperf.hoc
[1394/1744] Generating ../../tqperf/coreneuron_special/tperfnew.hoc
[1395/1744] Generating ../../tqperf/coreneuron_python/extracell.hoc
[1396/1744] Generating ../../tqperf/coreneuron_python/invl.hoc
[1397/1744] Generating ../../tqperf/coreneuron_python/init.hoc
[1398/1744] Generating ../../tqperf/coreneuron_python/mosinit.hoc
[1399/1744] Generating ../../tqperf/coreneuron_python/movie.hoc
[1400/1744] Generating ../../tqperf/coreneuron_python/net.hoc
[1401/1744] Generating ../../tqperf/coreneuron_python/param.hoc
[1402/1744] Generating ../../tqperf/coreneuron_python/perfrun.hoc
[1403/1744] Generating ../../tqperf/coreneuron_python/pltq.hoc
[1404/1744] Generating ../../tqperf/coreneuron_python/randist.hoc
[1405/1744] Generating ../../tqperf/coreneuron_python/run.hoc
[1406/1744] Generating ../../tqperf/coreneuron_python/run128.hoc
[1407/1744] Generating ../../tqperf/coreneuron_python/runs.hoc
[1408/1744] Generating ../../tqperf/coreneuron_python/spike2file.hoc
[1409/1744] Generating ../../tqperf/coreneuron_python/spkplt.hoc
[1410/1744] Generating ../../tqperf/coreneuron_python/table.hoc
[1411/1744] Generating ../../tqperf/coreneuron_python/test1.py
[1412/1744] Generating ../../tqperf/coreneuron_python/tperf.hoc
[1413/1744] Generating ../../tqperf/coreneuron_python/tperfnew.hoc
[1414/1744] Building special[-core] for test group coreneuron_modtests
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30
cfiles =
Mod files: "./axial.mod" "./axial_pp.mod" "./bacur.mod" "./banocur.mod" "./fornetcon.mod" "./invlfire.mod" "./natrans.mod" "./netmove.mod" "./sample.mod" "./unitstest.mod" "./version_macros.mod" "./watchrange.mod"
MODOBJS= ./axial.o ./axial_pp.o ./bacur.o ./banocur.o ./fornetcon.o ./invlfire.o ./natrans.o ./netmove.o ./sample.o ./unitstest.o ./version_macros.o ./watchrange.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/axial_pp.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/axial.mod
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/bacur.mod
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/banocur.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/fornetcon.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: w [Argument] in ForNetcon shadows <argument> definition in NetReceiveBlock
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: SYMTAB :: w [Argument] in ForNetcon shadows <argument> definition in NetReceiveBlock
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [warning] :: SYMTAB :: w [Argument] in ForNetcon shadows <argument> definition in NetReceiveBlock
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/invlfire.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/natrans.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netmove.mod
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/sample.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/unitstest.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/version_macros.mod
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/watchrange.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././axial.mod
-> NMODL .././axial_pp.mod
-> NMODL .././bacur.mod
Translating bacur.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/arm64/bacur.cpp
Translating axial_pp.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/arm64/axial_pp.cpp
Thread Safe
Translating axial.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/arm64/axial.cpp
INCLUDEing axial.inc
Thread Safe
INCLUDEing axial.inc
Thread Safe
-> NMODL .././banocur.mod
-> NMODL .././fornetcon.mod
-> NMODL .././invlfire.mod
Translating banocur.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/arm64/banocur.cpp
Translating fornetcon.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/arm64/fornetcon.cpp
Notice: This mechanism cannot be used with CVODE
Thread Safe
Thread Safe
Translating invlfire.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/arm64/invlfire.cpp
Thread Safe
-> NMODL .././natrans.mod
-> NMODL .././netmove.mod
-> NMODL .././sample.mod
Translating netmove.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/arm64/netmove.cpp
Thread Safe
Translating natrans.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/arm64/natrans.cpp
Thread Safe
-> NMODL .././unitstest.mod
Translating sample.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/arm64/sample.cpp
-> NMODL .././version_macros.mod
Thread Safe
-> NMODL .././watchrange.mod
Translating unitstest.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/arm64/unitstest.cpp
Thread Safe
Translating version_macros.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/arm64/version_macros.cpp
Thread Safe
-> Compiling axial.cpp
-> Compiling axial_pp.cpp
Translating watchrange.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b23116150a9073cba36948776db2dbb9b3e2cc88ca30088010945f6636148b30/arm64/watchrange.cpp
Thread Safe
-> Compiling bacur.cpp
-> Compiling banocur.cpp
-> Compiling fornetcon.cpp
-> Compiling invlfire.cpp
-> Compiling natrans.cpp
-> Compiling netmove.cpp
-> Compiling sample.cpp
-> Compiling unitstest.cpp
-> Compiling version_macros.cpp
-> Compiling watchrange.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1415/1744] Generating coreneuron_modtests/version_macros/test/pytest_coreneuron/test_version_macros.py
[1416/1744] Generating coreneuron_modtests/inputpresyn_py/test/coreneuron/test_inputpresyn.py
[1417/1744] Generating coreneuron_modtests/fornetcon_py_cpu/test/coreneuron/test_fornetcon.py
[1418/1744] Generating coreneuron_modtests/direct_py_cpu/test/coreneuron/test_direct.py
[1419/1744] Generating coreneuron_modtests/direct_hoc_cpu/test/coreneuron/test_direct.hoc
[1420/1744] Generating coreneuron_modtests/spikes_py_cpu/test/coreneuron/test_spikes.py
[1421/1744] Generating coreneuron_modtests/fast_imem_py_cpu/test/pytest_coreneuron/test_fast_imem.py
[1422/1744] Generating coreneuron_modtests/spikes_file_mode_py_cpu/test/coreneuron/test_spikes.py
[1423/1744] Generating coreneuron_modtests/datareturn_py_cpu/test/coreneuron/test_datareturn.py
[1424/1744] Generating coreneuron_modtests/test_units_py_cpu/test/coreneuron/test_units.py
[1425/1744] Generating coreneuron_modtests/test_netmove_py_cpu/test/coreneuron/test_netmove.py
[1426/1744] Generating coreneuron_modtests/test_pointer_py_cpu/test/coreneuron/test_pointer.py
[1427/1744] Generating coreneuron_modtests/test_watchrange_py_cpu/test/coreneuron/test_watchrange.py
[1428/1744] Generating coreneuron_modtests/test_psolve_py_cpu/test/coreneuron/test_psolve.py
[1429/1744] Generating coreneuron_modtests/test_ba_py_cpu/test/coreneuron/test_ba.py
[1430/1744] Generating coreneuron_modtests/test_natrans_py_cpu/test/gjtests/test_natrans.py
[1431/1744] Generating coreneuron_modtests/spikes_mpi_file_mode_py_cpu/test/coreneuron/test_spikes.py
[1432/1744] Generating coreneuron_modtests/test_subworlds_py_cpu/test/coreneuron/test_subworlds.py
[1433/1744] Building special[-core] for test group testcorenrn_bbcore
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876
cfiles =
Mod files: "./Gfluct3.mod" "./hhderiv.mod" "./hhkin.mod" "./hhwatch.mod" "./nacum.mod" "./vecevent.mod"
MODOBJS= ./Gfluct3.o ./hhderiv.o ./hhkin.o ./hhwatch.o ./nacum.o ./vecevent.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Gfluct3.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hhderiv.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hhkin.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [info] :: Parsing Units
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Automatically enable sympy_analytic because it exists solver of type sparse
[NMODL] [info] :: Running sympy solve visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hhwatch.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/nacum.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/vecevent.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> Compiling mod_func.cpp
-> NMODL .././Gfluct3.mod
-> NMODL .././hhderiv.mod
-> NMODL .././hhkin.mod
Translating Gfluct3.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/Gfluct3.cpp
Thread Safe
Translating hhkin.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/hhkin.cpp
Translating hhderiv.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/hhderiv.cpp
Thread Safe
Thread Safe
-> NMODL .././hhwatch.mod
-> NMODL .././nacum.mod
-> NMODL .././vecevent.mod
Translating hhwatch.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/hhwatch.cpp
Thread Safe
Translating nacum.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/nacum.cpp
Thread Safe
Translating vecevent.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/b62f97c7d3f6d905c774d64e10128b3300792f8f757b926b965c058a4d6ee876/arm64/vecevent.cpp
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
-> Compiling Gfluct3.cpp
-> Compiling hhderiv.cpp
-> Compiling hhkin.cpp
-> Compiling hhwatch.cpp
-> Compiling nacum.cpp
-> Compiling vecevent.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1434/1744] Generating ../../testcorenrn_bbcore/neuron/common.hoc
[1435/1744] Generating ../../testcorenrn_bbcore/neuron/defvar.hoc
[1436/1744] Generating ../../testcorenrn_bbcore/neuron/testbbcore.hoc
[1437/1744] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online/common.hoc
[1438/1744] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online/defvar.hoc
[1439/1744] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online/testbbcore.hoc
[1440/1744] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online_psolve_alternate/testbbcore.hoc
[1441/1744] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online_psolve_alternate/common.hoc
[1442/1744] Generating ../../testcorenrn_bbcore/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1443/1744] Generating ../../testcorenrn_bbcore/coreneuron_cpu_offline/common.hoc
[1444/1744] Generating ../../testcorenrn_bbcore/coreneuron_cpu_offline/defvar.hoc
[1445/1744] Generating ../../testcorenrn_bbcore/coreneuron_cpu_offline/testbbcore.hoc
[1446/1744] Generating ../../testcorenrn_conc/coreneuron_cpu_online/common.hoc
[1447/1744] Generating ../../testcorenrn_conc/neuron/common.hoc
[1448/1744] Generating ../../testcorenrn_conc/neuron/defvar.hoc
[1449/1744] Generating ../../testcorenrn_conc/coreneuron_cpu_online/defvar.hoc
[1450/1744] Generating ../../testcorenrn_conc/neuron/testconc.hoc
[1451/1744] Generating ../../testcorenrn_conc/coreneuron_cpu_online/testconc.hoc
[1452/1744] Generating ../../testcorenrn_conc/coreneuron_cpu_online_psolve_alternate/common.hoc
[1453/1744] Generating ../../testcorenrn_conc/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1454/1744] Generating ../../testcorenrn_conc/coreneuron_cpu_offline/common.hoc
[1455/1744] Generating ../../testcorenrn_conc/coreneuron_cpu_offline/defvar.hoc
[1456/1744] Generating ../../testcorenrn_conc/coreneuron_cpu_online_psolve_alternate/testconc.hoc
[1457/1744] Generating ../../testcorenrn_conc/coreneuron_cpu_offline/testconc.hoc
[1458/1744] Generating ../../testcorenrn_deriv/neuron/common.hoc
[1459/1744] Generating ../../testcorenrn_deriv/neuron/defvar.hoc
[1460/1744] Generating ../../testcorenrn_deriv/coreneuron_cpu_online/testderiv.hoc
[1461/1744] Generating ../../testcorenrn_deriv/neuron/testderiv.hoc
[1462/1744] Generating ../../testcorenrn_deriv/coreneuron_cpu_online/common.hoc
[1463/1744] Generating ../../testcorenrn_deriv/coreneuron_cpu_online/defvar.hoc
[1464/1744] Generating ../../testcorenrn_deriv/coreneuron_cpu_online_psolve_alternate/common.hoc
[1465/1744] Generating ../../testcorenrn_deriv/coreneuron_cpu_online_psolve_alternate/testderiv.hoc
[1466/1744] Generating ../../testcorenrn_deriv/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1467/1744] Generating ../../testcorenrn_gf/neuron/common.hoc
[1468/1744] Generating ../../testcorenrn_deriv/coreneuron_cpu_offline/common.hoc
[1469/1744] Generating ../../testcorenrn_deriv/coreneuron_cpu_offline/defvar.hoc
[1470/1744] Generating ../../testcorenrn_deriv/coreneuron_cpu_offline/testderiv.hoc
[1471/1744] Generating ../../testcorenrn_gf/neuron/testgf.hoc
[1472/1744] Generating ../../testcorenrn_gf/neuron/defvar.hoc
[1473/1744] Generating ../../testcorenrn_gf/coreneuron_cpu_online/defvar.hoc
[1474/1744] Generating ../../testcorenrn_gf/coreneuron_cpu_online/common.hoc
[1475/1744] Generating ../../testcorenrn_gf/coreneuron_cpu_online/testgf.hoc
[1476/1744] Generating ../../testcorenrn_gf/coreneuron_cpu_online_psolve_alternate/common.hoc
[1477/1744] Generating ../../testcorenrn_gf/coreneuron_cpu_online_psolve_alternate/testgf.hoc
[1478/1744] Generating ../../testcorenrn_gf/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1479/1744] Generating ../../testcorenrn_gf/coreneuron_cpu_offline/defvar.hoc
[1480/1744] Generating ../../testcorenrn_gf/coreneuron_cpu_offline/testgf.hoc
[1481/1744] Generating ../../testcorenrn_gf/coreneuron_cpu_offline/common.hoc
[1482/1744] Generating ../../testcorenrn_kin/neuron/common.hoc
[1483/1744] Generating ../../testcorenrn_kin/neuron/defvar.hoc
[1484/1744] Generating ../../testcorenrn_kin/coreneuron_cpu_online/common.hoc
[1485/1744] Generating ../../testcorenrn_kin/neuron/testkin.hoc
[1486/1744] Generating ../../testcorenrn_kin/coreneuron_cpu_online/defvar.hoc
[1487/1744] Generating ../../testcorenrn_kin/coreneuron_cpu_online/testkin.hoc
[1488/1744] Generating ../../testcorenrn_kin/coreneuron_cpu_offline/common.hoc
[1489/1744] Generating ../../testcorenrn_kin/coreneuron_cpu_online_psolve_alternate/common.hoc
[1490/1744] Generating ../../testcorenrn_kin/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1491/1744] Generating ../../testcorenrn_kin/coreneuron_cpu_offline/defvar.hoc
[1492/1744] Generating ../../testcorenrn_kin/coreneuron_cpu_online_psolve_alternate/testkin.hoc
[1493/1744] Generating ../../testcorenrn_kin/coreneuron_cpu_offline/testkin.hoc
[1494/1744] Generating ../../testcorenrn_patstim/neuron/defvar.hoc
[1495/1744] Generating ../../testcorenrn_patstim/neuron/common.hoc
[1496/1744] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline_saverestore/defvar.hoc
[1497/1744] Generating ../../testcorenrn_patstim/neuron/testpatstim.hoc
[1498/1744] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline_saverestore/common.hoc
[1499/1744] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline_saverestore/testpatstim.hoc
[1500/1744] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline/defvar.hoc
[1501/1744] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline/common.hoc
[1502/1744] Generating ../../testcorenrn_patstim/coreneuron_cpu_offline/testpatstim.hoc
[1503/1744] Generating ../../testcorenrn_vecplay/neuron/common.hoc
[1504/1744] Generating ../../testcorenrn_vecplay/neuron/defvar.hoc
[1505/1744] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online/common.hoc
[1506/1744] Generating ../../testcorenrn_vecplay/neuron/testvecplay.hoc
[1507/1744] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online/testvecplay.hoc
[1508/1744] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online/defvar.hoc
[1509/1744] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1510/1744] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online_psolve_alternate/common.hoc
[1511/1744] Generating ../../testcorenrn_vecplay/coreneuron_cpu_offline/defvar.hoc
[1512/1744] Generating ../../testcorenrn_vecplay/coreneuron_cpu_offline/common.hoc
[1513/1744] Generating ../../testcorenrn_vecplay/coreneuron_cpu_offline/testvecplay.hoc
[1514/1744] Generating ../../testcorenrn_vecplay/coreneuron_cpu_online_psolve_alternate/testvecplay.hoc
[1515/1744] Generating ../../testcorenrn_vecevent/neuron/common.hoc
[1516/1744] Generating ../../testcorenrn_vecevent/neuron/defvar.hoc
[1517/1744] Generating ../../testcorenrn_vecevent/neuron/testvecevent.hoc
[1518/1744] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online/testvecevent.hoc
[1519/1744] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online/common.hoc
[1520/1744] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online/defvar.hoc
[1521/1744] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1522/1744] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online_psolve_alternate/common.hoc
[1523/1744] Generating ../../testcorenrn_vecevent/coreneuron_cpu_online_psolve_alternate/testvecevent.hoc
[1524/1744] Generating ../../testcorenrn_vecevent/coreneuron_cpu_offline/common.hoc
[1525/1744] Generating ../../testcorenrn_vecevent/coreneuron_cpu_offline/defvar.hoc
[1526/1744] Generating ../../testcorenrn_vecevent/coreneuron_cpu_offline/testvecevent.hoc
[1527/1744] Generating ../../testcorenrn_watch/neuron/common.hoc
[1528/1744] Generating ../../testcorenrn_watch/neuron/defvar.hoc
[1529/1744] Generating ../../testcorenrn_watch/neuron/testwatch.hoc
[1530/1744] Generating ../../testcorenrn_watch/coreneuron_cpu_online/common.hoc
[1531/1744] Generating ../../testcorenrn_watch/coreneuron_cpu_online/defvar.hoc
[1532/1744] Generating ../../testcorenrn_watch/coreneuron_cpu_online/testwatch.hoc
[1533/1744] Generating ../../testcorenrn_watch/coreneuron_cpu_online_psolve_alternate/common.hoc
[1534/1744] Generating ../../testcorenrn_watch/coreneuron_cpu_offline/defvar.hoc
[1535/1744] Generating ../../testcorenrn_watch/coreneuron_cpu_online_psolve_alternate/defvar.hoc
[1536/1744] Generating ../../testcorenrn_watch/coreneuron_cpu_online_psolve_alternate/testwatch.hoc
[1537/1744] Generating ../../testcorenrn_watch/coreneuron_cpu_offline/common.hoc
[1538/1744] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect/common.hoc
[1539/1744] Generating ../../testcorenrn_watch/coreneuron_cpu_offline/testwatch.hoc
[1540/1744] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect_psolve_alternate/common.hoc
[1541/1744] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect/defvar.hoc
[1542/1744] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect/testnetstimdirect.hoc
[1543/1744] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect_psolve_alternate/defvar.hoc
[1544/1744] Generating ../../testcorenrn_netstimdirect/direct_netstimdirect_psolve_alternate/testnetstimdirect.hoc
[1545/1744] Building special[-core] for test group example_nmodl
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2
cfiles =
Mod files: "./argpass.mod" "./cabpump.mod" "./cachan.mod" "./cacum.mod" "./cacur.mod" "./cadif.mod" "./cadifusl.mod" "./cagk.mod" "./cagkftab.mod" "./capmp.mod" "./expsynspine.mod" "./fh.mod" "./fit1.mod" "./gap.mod" "./hh1.mod" "./hhvect.mod" "./inatest.mod" "./ionleak.mod" "./nacum.mod" "./nacur.mod" "./nadifl.mod" "./nonlin.mod" "./obj_ex.mod" "./order.mod" "./passiv.mod" "./rchan.mod" "./stim1.mod" "./str.mod" "./syn1.mod" "./synpre.mod" "./trivial.mod" "./tstdarray.mod" "./tstextrn.mod" "./tstpnt1.mod" "./tstpnt2.mod" "./tstvec.mod" "./vclmp1.mod" "./vlag.mod"
MODOBJS= ./argpass.o ./cabpump.o ./cachan.o ./cacum.o ./cacur.o ./cadif.o ./cadifusl.o ./cagk.o ./cagkftab.o ./capmp.o ./expsynspine.o ./fh.o ./fit1.o ./gap.o ./hh1.o ./hhvect.o ./inatest.o ./ionleak.o ./nacum.o ./nacur.o ./nadifl.o ./nonlin.o ./obj_ex.o ./order.o ./passiv.o ./rchan.o ./stim1.o ./str.o ./syn1.o ./synpre.o ./trivial.o ./tstdarray.o ./tstextrn.o ./tstpnt1.o ./tstpnt2.o ./tstvec.o ./vclmp1.o ./vlag.o
-> Compiling mod_func.cpp
-> NMODL .././argpass.mod
-> NMODL .././cabpump.mod
-> NMODL .././cachan.mod
Translating cachan.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cachan.cpp
Thread Safe
Translating cabpump.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cabpump.cpp
Translating argpass.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/argpass.cpp
Notice: VERBATIM blocks are not thread safe
Notice: Assignment to the GLOBAL variable, "vol", is not thread safe
-> NMODL .././cacum.mod
-> NMODL .././cadif.mod
-> NMODL .././cacur.mod
Translating cacur.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cacur.cpp
Thread Safe
Translating cadif.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cadif.cpp
Translating cacum.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cacum.cpp
Thread Safe
Thread Safe
-> NMODL .././cadifusl.mod
-> NMODL .././cagk.mod
-> NMODL .././cagkftab.mod
Translating cagk.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cagk.cpp
Thread Safe
Translating cadifusl.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cadifusl.cpp
Notice: Assignment to the GLOBAL variable, "vol", is not thread safe
Translating cagkftab.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/cagkftab.cpp
Thread Safe
-> NMODL .././capmp.mod
-> NMODL .././expsynspine.mod
-> NMODL .././fh.mod
Translating expsynspine.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/expsynspine.cpp
Notice: LINEAR is not thread safe.
Translating fh.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/fh.cpp
Translating capmp.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/capmp.cpp
INCLUDEing standard.inc
NEURON's CVode method ignores conservation
Notice: Assignment to the GLOBAL variable, "inf", is not thread safe
Notice: Assignment to the GLOBAL variable, "tau", is not thread safe
Thread Safe
-> NMODL .././fit1.mod
-> NMODL .././gap.mod
-> NMODL .././hh1.mod
Translating fit1.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/fit1.cpp
Notice: VERBATIM blocks are not thread safe
-> NMODL .././hhvect.mod
Translating hh1.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/hh1.cpp
Notice: This mechanism cannot be used with CVODE
Notice: Assignment to the GLOBAL variable, "hexp", is not thread safe
Notice: Assignment to the GLOBAL variable, "hinf", is not thread safe
Notice: Assignment to the GLOBAL variable, "mexp", is not thread safe
Notice: Assignment to the GLOBAL variable, "minf", is not thread safe
Notice: Assignment to the GLOBAL variable, "nexp", is not thread safe
Notice: Assignment to the GLOBAL variable, "ninf", is not thread safe
Warning: Default 6.3 of PARAMETER celsius will be ignored and set by NEURON.
Warning: Default -77.5 of PARAMETER ek will be ignored and set by NEURON.
Warning: Default 50 of PARAMETER ena will be ignored and set by NEURON.
-> NMODL .././inatest.mod
Translating gap.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/gap.cpp
Notice: Use of POINTER is not thread safe.
-> NMODL .././ionleak.mod
Translating hhvect.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/hhvect.cpp
Notice: This mechanism cannot be used with CVODE
Thread Safe
Warning: Default 6.3 of PARAMETER celsius will be ignored and set by NEURON.
Warning: Default -77.5 of PARAMETER ek will be ignored and set by NEURON.
Warning: Default 50 of PARAMETER ena will be ignored and set by NEURON.
-> NMODL .././nacum.mod
Translating inatest.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/inatest.cpp
Thread Safe
Translating ionleak.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/ionleak.cpp
Thread Safe
-> NMODL .././nacur.mod
-> NMODL .././nadifl.mod
Translating nacum.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/nacum.cpp
Thread Safe
-> NMODL .././nonlin.mod
Translating nadifl.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/nadifl.cpp
Thread Safe
Translating nacur.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/nacur.cpp
Thread Safe
-> NMODL .././obj_ex.mod
-> NMODL .././order.mod
Translating nonlin.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/nonlin.cpp
Notice: NONLINEAR is not thread safe.
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
-> NMODL .././passiv.mod
Translating obj_ex.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/obj_ex.cpp
Thread Safe
Translating order.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/order.cpp
Thread Safe
-> NMODL .././rchan.mod
-> NMODL .././stim1.mod
Translating passiv.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/passiv.cpp
Thread Safe
-> NMODL .././str.mod
Translating stim1.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/stim1.cpp
Thread Safe
-> NMODL .././syn1.mod
Translating rchan.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/rchan.cpp
Notice: normrand is not thread safe
Notice: This mechanism cannot be used with CVODE
-> NMODL .././synpre.mod
Translating syn1.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/syn1.cpp
Translating str.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/str.cpp
Notice: VERBATIM blocks are not thread safe
Thread Safe
-> NMODL .././trivial.mod
-> NMODL .././tstdarray.mod
Translating synpre.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/synpre.cpp
Notice: Use of POINTER is not thread safe.
Notice: VERBATIM blocks are not thread safe
Notice: This mechanism cannot be used with CVODE
-> NMODL .././tstextrn.mod
Translating tstdarray.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstdarray.cpp
Thread Safe
-> NMODL .././tstpnt1.mod
Translating trivial.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/trivial.cpp
-> NMODL .././tstpnt2.mod
Translating tstextrn.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstextrn.cpp
Notice: Use of EXTERNAL is not thread safe.
-> NMODL .././tstvec.mod
Translating tstpnt1.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstpnt1.cpp
Notice: Use of POINTER is not thread safe.
-> NMODL .././vclmp1.mod
-> NMODL .././vlag.mod
Translating tstpnt2.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstpnt2.cpp
Notice: Use of POINTER is not thread safe.
-> Compiling argpass.cpp
Translating vlag.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/vlag.cpp
Thread Safe
Translating vclmp1.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/vclmp1.cpp
Notice: VERBATIM blocks are not thread safe
Notice: This mechanism cannot be used with CVODE
Notice: LINEAR is not thread safe.
-> Compiling cabpump.cpp
-> Compiling cachan.cpp
Translating tstvec.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/263a5c542e063ee6760ce51af8be262e1c89db6e16b2211fb308c533e45334f2/arm64/tstvec.cpp
Notice: VERBATIM blocks are not thread safe
-> Compiling cacum.cpp
-> Compiling cacur.cpp
-> Compiling cadif.cpp
-> Compiling cadifusl.cpp
-> Compiling cagk.cpp
-> Compiling cagkftab.cpp
-> Compiling capmp.cpp
-> Compiling expsynspine.cpp
-> Compiling fh.cpp
-> Compiling fit1.cpp
-> Compiling gap.cpp
-> Compiling hh1.cpp
-> Compiling hhvect.cpp
-> Compiling inatest.cpp
-> Compiling ionleak.cpp
-> Compiling nacum.cpp
-> Compiling nacur.cpp
-> Compiling nadifl.cpp
-> Compiling nonlin.cpp
-> Compiling obj_ex.cpp
-> Compiling order.cpp
-> Compiling passiv.cpp
-> Compiling rchan.cpp
-> Compiling stim1.cpp
-> Compiling str.cpp
-> Compiling syn1.cpp
-> Compiling synpre.cpp
-> Compiling trivial.cpp
-> Compiling tstdarray.cpp
-> Compiling tstextrn.cpp
-> Compiling tstpnt1.cpp
-> Compiling tstpnt2.cpp
-> Compiling tstvec.cpp
-> Compiling vclmp1.cpp
-> Compiling vlag.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1546/1744] Generating example_nmodl/cabpump_hoc/cabpump.hoc
[1547/1744] Generating example_nmodl/cadif_hoc/cadif.hoc
[1548/1744] Generating example_nmodl/ca_ap_hoc/ca_ap.hoc
[1549/1744] Generating example_nmodl/cachan_hoc/cachan.hoc
[1550/1744] Generating example_nmodl/cadif_hoc/cadif.ses
[1551/1744] Generating example_nmodl/cadifusl_hoc/cadifusl.hoc
[1552/1744] Generating example_nmodl/cadifusl1_hoc/cadifusl1.hoc
[1553/1744] Generating example_nmodl/cadifusl2_hoc/cadifusl2.hoc
[1554/1744] Generating example_nmodl/cagk_hoc/cagk.hoc
[1555/1744] Generating example_nmodl/fh_hoc/fh.hoc
[1556/1744] Generating example_nmodl/cv_hoc/cv.hoc
[1557/1744] Generating example_nmodl/capmp_hoc/capmp.hoc
[1558/1744] Generating example_nmodl/gap_hoc/gap.hoc
[1559/1744] Generating example_nmodl/fit1_hoc/fit1.hoc
[1560/1744] Generating example_nmodl/hh1_hoc/hh1.hoc
[1561/1744] Generating example_nmodl/nacum_hoc/nacum.hoc
[1562/1744] Generating example_nmodl/hhvect_hoc/hhvect.hoc
[1563/1744] Generating example_nmodl/nadifl1_hoc/nadifl1.hoc
[1564/1744] Generating example_nmodl/nadifl1_hoc/nadifl1.ses
[1565/1744] Generating example_nmodl/nadifl2_hoc/nadifl2.hoc
[1566/1744] Generating example_nmodl/nadifl2_hoc/nadifl2.ses
[1567/1744] Generating example_nmodl/nadifl3_hoc/nadifl3.hoc
[1568/1744] Generating example_nmodl/nadifl3_hoc/nadifl3.ses
[1569/1744] Generating example_nmodl/nadifl4_hoc/nadifl4.hoc
[1570/1744] Generating example_nmodl/nadifl4_hoc/nadifl4.ses
[1571/1744] Generating example_nmodl/obj_ex_hoc/obj_ex.hoc
[1572/1744] Generating example_nmodl/order_hoc/order.hoc
[1573/1744] Generating example_nmodl/stim1_hoc/stim1.hoc
[1574/1744] Generating example_nmodl/passiv_hoc/passiv.hoc
[1575/1744] Generating example_nmodl/syn1_hoc/syn1.hoc
[1576/1744] Generating example_nmodl/tstextrn_hoc/tstextrn.hoc
[1577/1744] Generating example_nmodl/synpre_hoc/synpre.hoc
[1578/1744] Generating example_nmodl/tstpnt1_hoc/tstpnt1.hoc
[1579/1744] Generating example_nmodl/tstvec_hoc/tstvec.hoc
[1580/1744] Generating example_nmodl/cagkftab_py/cagkftab.py
[1581/1744] Generating example_nmodl/tstpnt2_hoc/tstpnt2.hoc
[1582/1744] Generating example_nmodl/expsynspine_py/expsynspine.ses
[1583/1744] Generating example_nmodl/nonlin_py/nonlin.py
[1584/1744] Generating example_nmodl/expsynspine_py/expsynspine.py
[1585/1744] Generating example_nmodl/tstpnt2_py/tstpnt2.py
[1586/1744] Generating example_nmodl/vlag_py/vlag.py
[1587/1744] Generating example_nmodl/tstpnt1_py/tstpnt1.py
[1588/1744] Building CXX object test/CMakeFiles/nrn-benchmarks.dir/common/catch2_main.cpp.o
[1589/1744] Linking CXX executable bin/test/nrn-benchmarks
[1590/1744] Building CXX object test/api/CMakeFiles/api_unit_tests.dir/api_unit_tests.cpp.o
[1591/1744] Linking CXX executable bin/test/api_unit_tests
[1592/1744] Building special[-core] for test group reduced_dentate
/usr/bin/xcrun
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead
cfiles =
Mod files: "./Aradi_Ca.mod" "./Aradi_CadepK.mod" "./Aradi_KA.mod" "./Aradi_KDRf.mod" "./Aradi_KDRs.mod" "./Aradi_Na.mod" "./CaBK.mod" "./DGC_M.mod" "./DGC_UK.mod" "./DGC_sAHP.mod" "./Gfluct3.mod" "./LcaMig.mod" "./SH_KIn.mod" "./SH_na8st.mod" "./bgka.mod" "./ccanl.mod" "./ggap.mod" "./gskch.mod" "./holdingi.mod" "./hyperde3.mod" "./ichan2.mod" "./iconc_Ca.mod" "./kcaPR.mod" "./na3n.mod" "./nca.mod" "./spines.mod" "./tca.mod" "./vecevent.mod"
MODOBJS= ./Aradi_Ca.o ./Aradi_CadepK.o ./Aradi_KA.o ./Aradi_KDRf.o ./Aradi_KDRs.o ./Aradi_Na.o ./CaBK.o ./DGC_M.o ./DGC_UK.o ./DGC_sAHP.o ./Gfluct3.o ./LcaMig.o ./SH_KIn.o ./SH_na8st.o ./bgka.o ./ccanl.o ./ggap.o ./gskch.o ./holdingi.o ./hyperde3.o ./ichan2.o ./iconc_Ca.o ./kcaPR.o ./na3n.o ./nca.o ./spines.o ./tca.o ./vecevent.o
[INFO] Running: make -j4 -f /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/share/coreneuron/nrnivmodl_core_makefile ROOT=/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build MODS_PATH=arm64/corenrn/mod2c BUILD_TYPE=SHARED NRN_PRCELLSTATE=0
Default NMODL flags:
diff: arm64/corenrn/mod2c/_mod_func.cpp: No such file or directory
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Aradi_CadepK.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Aradi_KA.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Aradi_Ca.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: k [Argument] in exp1 shadows <ion> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: k [Argument] in exp1 shadows <ion> definition in NMODL_GLOBAL
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [warning] :: SYMTAB :: k [Argument] in exp1 shadows <ion> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Aradi_KDRf.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Aradi_Na.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Aradi_KDRs.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/CaBK.mod
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: k [Argument] in exp1 shadows <ion> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: k [Argument] in exp1 shadows <ion> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: k [Argument] in exp1 shadows <ion> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/DGC_UK.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/DGC_M.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/Gfluct3.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/DGC_sAHP.mod
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [warning] :: Can not inline function call to mynormrand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/LcaMig.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: cai [Argument] in h2 shadows <assigned_definition read_ion> definition in NMODL_GLOBAL
[NMODL] [warning] :: SYMTAB :: celsius [Argument] in KTF shadows <assigned_definition extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: cai [Argument] in h2 shadows <assigned_definition read_ion> definition in NMODL_GLOBAL
[NMODL] [warning] :: SYMTAB :: celsius [Argument] in KTF shadows <assigned_definition extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: cai [Argument] in h2 shadows <assigned_definition read_ion> definition in NMODL_GLOBAL
[NMODL] [warning] :: SYMTAB :: celsius [Argument] in KTF shadows <assigned_definition extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/SH_na8st.mod
[NMODL] [info] :: Processing arm64/corenrn/mod2c/SH_KIn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/bgka.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Automatically enable sympy_analytic because it exists solver of type sparse
[NMODL] [info] :: Running sympy solve visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/ccanl.mod
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/exp2syn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/expsyn.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/ggap.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/gskch.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: cai [Argument] in rate shadows <assigned_definition> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: cai [Argument] in rate shadows <assigned_definition> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: cai [Argument] in rate shadows <assigned_definition> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hh.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/holdingi.mod
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/hyperde3.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/ichan2.mod
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/iconc_Ca.mod
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/kcaPR.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/na3n.mod
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/nca.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/netstim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: t [Argument] in init_sequence shadows <extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [warning] :: Can not inline function call to erand
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/passive.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/spines.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/pattern.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Processing arm64/corenrn/mod2c/stim.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Processing arm64/corenrn/mod2c/svclmp.mod
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [warning] :: CVode solver of icur in 64.20-30 replaced with cnexp solver
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/tca.mod
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [warning] :: SYMTAB :: celsius [Argument] in KTF shadows <assigned_definition extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [warning] :: SYMTAB :: celsius [Argument] in KTF shadows <assigned_definition extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [warning] :: SYMTAB :: celsius [Argument] in KTF shadows <assigned_definition extern_neuron_var> definition in NMODL_GLOBAL
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Processing arm64/corenrn/mod2c/vecevent.mod
[NMODL] [info] :: Running symtab visitor
[NMODL] [info] :: Running semantic analysis visitor
[NMODL] [info] :: Running CVode to cnexp visitor
[NMODL] [info] :: Running code compatibility checker
[NMODL] [info] :: Running verbatim rename visitor
[NMODL] [info] :: Running KINETIC block visitor
[NMODL] [info] :: Running STEADYSTATE visitor
[NMODL] [info] :: Parsing Units
[NMODL] [info] :: Running nmodl inline visitor
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running local variable rename visitor
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
[NMODL] [info] :: Running cnexp visitor
[NMODL] [info] :: Running C++ backend code generator for CoreNEURON
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> Binary creating arm64/special-core
[INFO] MOD files built successfully for CoreNEURON
-> NMODL .././Aradi_Ca.mod
-> NMODL .././Aradi_CadepK.mod
-> Compiling mod_func.cpp
-> NMODL .././Aradi_KA.mod
Translating Aradi_Ca.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_Ca.cpp
Translating Aradi_CadepK.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_CadepK.cpp
Thread Safe
Translating Aradi_KA.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_KA.cpp
Thread Safe
Thread Safe
-> NMODL .././Aradi_KDRf.mod
-> NMODL .././Aradi_KDRs.mod
-> NMODL .././Aradi_Na.mod
Translating Aradi_KDRf.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_KDRf.cpp
Thread Safe
Translating Aradi_KDRs.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_KDRs.cpp
Thread Safe
Translating Aradi_Na.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Aradi_Na.cpp
Thread Safe
-> NMODL .././CaBK.mod
-> NMODL .././DGC_M.mod
-> NMODL .././DGC_UK.mod
Translating CaBK.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/CaBK.cpp
Thread Safe
Translating DGC_M.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/DGC_M.cpp
Translating DGC_UK.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/DGC_UK.cpp
-> NMODL .././DGC_sAHP.mod
Thread Safe
Thread Safe
-> NMODL .././Gfluct3.mod
-> NMODL .././LcaMig.mod
Translating DGC_sAHP.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/DGC_sAHP.cpp
Thread Safe
Translating LcaMig.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/LcaMig.cpp
Translating Gfluct3.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/Gfluct3.cpp
Thread Safe
-> NMODL .././SH_KIn.mod
Thread Safe
-> NMODL .././SH_na8st.mod
-> NMODL .././bgka.mod
-> NMODL .././ccanl.mod
Translating SH_KIn.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/SH_KIn.cpp
Thread Safe
Translating SH_na8st.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/SH_na8st.cpp
NEURON's CVode method ignores conservation
Translating bgka.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/bgka.cpp
Thread Safe
Thread Safe
-> NMODL .././ggap.mod
Translating ccanl.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/ccanl.cpp
Thread Safe
-> NMODL .././gskch.mod
-> NMODL .././hyperde3.mod
-> NMODL .././holdingi.mod
Translating ggap.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/ggap.cpp
Thread Safe
Translating gskch.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/gskch.cpp
Thread Safe
Translating holdingi.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/holdingi.cpp
Thread Safe
-> NMODL .././ichan2.mod
Translating hyperde3.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/hyperde3.cpp
Thread Safe
-> NMODL .././iconc_Ca.mod
-> NMODL .././na3n.mod
-> NMODL .././kcaPR.mod
Translating ichan2.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/ichan2.cpp
Thread Safe
Translating iconc_Ca.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/iconc_Ca.cpp
Thread Safe
Translating na3n.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/na3n.cpp
-> NMODL .././nca.mod
Thread Safe
Translating kcaPR.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/kcaPR.cpp
-> NMODL .././spines.mod
Thread Safe
-> NMODL .././tca.mod
-> NMODL .././vecevent.mod
Translating nca.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/nca.cpp
Thread Safe
Translating spines.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/spines.cpp
Translating tca.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/tca.cpp
Thread Safe
Thread Safe
-> Compiling Aradi_Ca.cpp
-> Compiling Aradi_CadepK.cpp
Translating vecevent.mod into /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/test/nrnivmodl/53c432f7080fe7b786e16536f2d17f96d387425d200c645a6b4cec93b5edfead/arm64/vecevent.cpp
Thread Safe
Notice: ARTIFICIAL_CELL is a synonym for POINT_PROCESS which hints that it
only affects and is affected by discrete events. As such it is not
located in a section and is not associated with an integrator
-> Compiling Aradi_KA.cpp
-> Compiling Aradi_KDRf.cpp
-> Compiling Aradi_KDRs.cpp
-> Compiling Aradi_Na.cpp
-> Compiling CaBK.cpp
-> Compiling DGC_M.cpp
-> Compiling DGC_UK.cpp
-> Compiling DGC_sAHP.cpp
-> Compiling Gfluct3.cpp
-> Compiling LcaMig.cpp
-> Compiling SH_KIn.cpp
-> Compiling SH_na8st.cpp
-> Compiling bgka.cpp
-> Compiling ccanl.cpp
-> Compiling ggap.cpp
-> Compiling gskch.cpp
-> Compiling holdingi.cpp
-> Compiling hyperde3.cpp
-> Compiling ichan2.cpp
-> Compiling iconc_Ca.cpp
-> Compiling kcaPR.cpp
-> Compiling na3n.cpp
-> Compiling nca.cpp
-> Compiling spines.cpp
-> Compiling tca.cpp
-> Compiling vecevent.cpp
=> LINKING shared library ./libnrnmech.dylib
ld: warning: -undefined dynamic_lookup may not work with chained fixups
=> LINKING executable ./special LDFLAGS are: /opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib/libpython3.10.dylib -Wl,-rpath,/opt/homebrew/opt/python@3.10/Frameworks/Python.framework/Versions/3.10/lib /opt/homebrew/Cellar/open-mpi/5.0.1/lib/libmpi.dylib -Wl,-rpath,/opt/homebrew/Cellar/open-mpi/5.0.1/lib
Successfully created arm64/special
[1593/1744] Generating ../../reduced_dentate/neuron/dat/DGC_dendrite_topology.dat
[1594/1744] Generating ../../reduced_dentate/neuron/dat/axon_st8.dat
[1595/1744] Generating ../../reduced_dentate/neuron/commonutils.py
[1596/1744] Generating ../../reduced_dentate/neuron/dat/DGC_dendrite_points.dat
[1597/1744] Generating ../../reduced_dentate/neuron/dat/DGC_spine_density.dat
[1598/1744] Generating ../../reduced_dentate/neuron/dat/soma_st8.dat
[1599/1744] Generating ../../reduced_dentate/neuron/datasets/Control/AAC.dat
[1600/1744] Generating ../../reduced_dentate/neuron/datasets/Control/AACstim.dat
[1601/1744] Generating ../../reduced_dentate/neuron/datasets/Control/AACtoMC.dat
[1602/1744] Generating ../../reduced_dentate/neuron/datasets/Control/AACtoGC.dat
[1603/1744] Generating ../../reduced_dentate/neuron/datasets/Control/BC.dat
[1604/1744] Generating ../../reduced_dentate/neuron/datasets/Control/BCtoBC.dat
[1605/1744] Generating ../../reduced_dentate/neuron/datasets/Control/GC.dat
[1606/1744] Generating ../../reduced_dentate/neuron/datasets/Control/BCtoGC.dat
[1607/1744] Generating ../../reduced_dentate/neuron/datasets/Control/BCtoMC.dat
[1608/1744] Generating ../../reduced_dentate/neuron/datasets/Control/GCtoAAC.dat
[1609/1744] Generating ../../reduced_dentate/neuron/datasets/Control/BCstim.dat
[1610/1744] Generating ../../reduced_dentate/neuron/datasets/Control/GCstim.dat
[1611/1744] Generating ../../reduced_dentate/neuron/datasets/Control/GCtoMC.dat
[1612/1744] Generating ../../reduced_dentate/neuron/datasets/Control/HCstim.dat
[1613/1744] Generating ../../reduced_dentate/neuron/datasets/Control/GCtoBC.dat
[1614/1744] Generating ../../reduced_dentate/neuron/datasets/Control/HCtoGC.dat
[1615/1744] Generating ../../reduced_dentate/neuron/datasets/Control/HC.dat
[1616/1744] Generating ../../reduced_dentate/neuron/datasets/Control/HCtoMC.dat
[1617/1744] Generating ../../reduced_dentate/neuron/datasets/Control/HCtoHC.dat
[1618/1744] Generating ../../reduced_dentate/neuron/datasets/Control/MCstim.dat
[1619/1744] Generating ../../reduced_dentate/neuron/datasets/Control/MC.dat
[1620/1744] Generating ../../reduced_dentate/neuron/datasets/Control/HCtoNGFC.dat
[1621/1744] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoAAC.dat
[1622/1744] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoBC.dat
[1623/1744] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoGC.dat
[1624/1744] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoMC.dat
[1625/1744] Generating ../../reduced_dentate/neuron/datasets/Control/MCtoHC.dat
[1626/1744] Generating ../../reduced_dentate/neuron/datasets/Control/MPPtoGC.dat
[1627/1744] Generating ../../reduced_dentate/neuron/datasets/Control/MPP.dat
[1628/1744] Generating ../../reduced_dentate/neuron/datasets/Control/MPP/MPPspiketrain.dat
[1629/1744] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCstim.dat
[1630/1744] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCtoBC.dat
[1631/1744] Generating ../../reduced_dentate/neuron/datasets/Control/NGFC.dat
[1632/1744] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCtoAAC.dat
[1633/1744] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCtoNGFC.dat
[1634/1744] Generating ../../reduced_dentate/neuron/datasets/Control/NGFCtoGC.dat
[1635/1744] Generating ../../reduced_dentate/neuron/datasets/Control/connectivity.dat
[1636/1744] Generating ../../reduced_dentate/neuron/datasets/Control/celltypes.dat
[1637/1744] Generating ../../reduced_dentate/neuron/datasets/Control/gapjunctions.dat
[1638/1744] Generating ../../reduced_dentate/neuron/datasets/Control/gjBCtoBC.dat
[1639/1744] Generating ../../reduced_dentate/neuron/datasets/Control/syncomp.dat
[1640/1744] Generating ../../reduced_dentate/neuron/datasets/Control/gjHCtoHC.dat
[1641/1744] Generating ../../reduced_dentate/neuron/datasets/Control/gjNGFCtoNGFC.dat
[1642/1744] Generating ../../reduced_dentate/neuron/datasets/Control/stim.dat
[1643/1744] Generating ../../reduced_dentate/neuron/datasets/Control/synerev.dat
[1644/1744] Generating ../../reduced_dentate/neuron/datasets/Control/syndecay.dat
[1645/1744] Generating ../../reduced_dentate/neuron/datasets/Control/synrise.dat
[1646/1744] Generating ../../reduced_dentate/neuron/main.hoc
[1647/1744] Generating ../../reduced_dentate/neuron/parameters/Control.hoc
[1648/1744] Generating ../../reduced_dentate/neuron/datasets/Control/syndend.dat
[1649/1744] Generating ../../reduced_dentate/neuron/datasets/Control/synweight.dat
[1650/1744] Generating ../../reduced_dentate/neuron/lib.hoc
[1651/1744] Generating ../../reduced_dentate/neuron/run.hoc
[1652/1744] Generating ../../reduced_dentate/neuron/templates/BasketCell.hoc
[1653/1744] Generating ../../reduced_dentate/neuron/templates/DGC_Biophysics_passive_na8st.hoc
[1654/1744] Generating ../../reduced_dentate/neuron/templates/AxoAxonicCell.hoc
[1655/1744] Generating ../../reduced_dentate/neuron/templates/HIPPCell.hoc
[1656/1744] Generating ../../reduced_dentate/neuron/templates/DGC_Morphology_from_file_na8st.hoc
[1657/1744] Generating ../../reduced_dentate/neuron/templates/DGC_Synapses.hoc
[1658/1744] Generating ../../reduced_dentate/neuron/templates/DGC.hoc
[1659/1744] Generating ../../reduced_dentate/neuron/templates/DGC_Parameters_passive_na8st.hoc
[1660/1744] Generating ../../reduced_dentate/neuron/templates/Dict.hoc
[1661/1744] Generating ../../reduced_dentate/neuron/templates/MossyCell.hoc
[1662/1744] Generating ../../reduced_dentate/neuron/templates/HICAPCell.hoc
[1663/1744] Generating ../../reduced_dentate/neuron/templates/NGFCell.hoc
[1664/1744] Generating ../../reduced_dentate/neuron/templates/Value.hoc
[1665/1744] Generating ../../reduced_dentate/neuron/templates/MPPCell.hoc
[1666/1744] Generating ../../reduced_dentate/neuron/templates/defvar.hoc
[1667/1744] Generating ../../reduced_dentate/neuron/templates/ranstream.hoc
[1668/1744] Generating ../../reduced_dentate/neuron/templates/StimCell.hoc
[1669/1744] Generating ../../reduced_dentate/coreneuron_cpu/commonutils.py
[1670/1744] Generating ../../reduced_dentate/coreneuron_cpu/dat/DGC_dendrite_topology.dat
[1671/1744] Generating ../../reduced_dentate/coreneuron_cpu/dat/DGC_dendrite_points.dat
[1672/1744] Generating ../../reduced_dentate/coreneuron_cpu/dat/DGC_spine_density.dat
[1673/1744] Generating ../../reduced_dentate/coreneuron_cpu/dat/axon_st8.dat
[1674/1744] Generating ../../reduced_dentate/coreneuron_cpu/dat/soma_st8.dat
[1675/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/AAC.dat
[1676/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/AACtoMC.dat
[1677/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/AACtoGC.dat
[1678/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BCstim.dat
[1679/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BCtoGC.dat
[1680/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/AACstim.dat
[1681/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BC.dat
[1682/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BCtoBC.dat
[1683/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/BCtoMC.dat
[1684/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GCstim.dat
[1685/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GC.dat
[1686/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HC.dat
[1687/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCstim.dat
[1688/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GCtoAAC.dat
[1689/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCtoHC.dat
[1690/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCtoGC.dat
[1691/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GCtoBC.dat
[1692/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/GCtoMC.dat
[1693/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCtoMC.dat
[1694/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MC.dat
[1695/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/HCtoNGFC.dat
[1696/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCstim.dat
[1697/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoBC.dat
[1698/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoHC.dat
[1699/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoAAC.dat
[1700/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoGC.dat
[1701/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MCtoMC.dat
[1702/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MPP.dat
[1703/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MPPtoGC.dat
[1704/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/MPP/MPPspiketrain.dat
[1705/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCstim.dat
[1706/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFC.dat
[1707/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCtoAAC.dat
[1708/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCtoBC.dat
[1709/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCtoNGFC.dat
[1710/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/celltypes.dat
[1711/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/NGFCtoGC.dat
[1712/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/connectivity.dat
[1713/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/gjBCtoBC.dat
[1714/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/gjNGFCtoNGFC.dat
[1715/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/gapjunctions.dat
[1716/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/stim.dat
[1717/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/syncomp.dat
[1718/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/gjHCtoHC.dat
[1719/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/syndend.dat
[1720/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/syndecay.dat
[1721/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/synweight.dat
[1722/1744] Generating ../../reduced_dentate/coreneuron_cpu/lib.hoc
[1723/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/synrise.dat
[1724/1744] Generating ../../reduced_dentate/coreneuron_cpu/datasets/Control/synerev.dat
[1725/1744] Generating ../../reduced_dentate/coreneuron_cpu/main.hoc
[1726/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/AxoAxonicCell.hoc
[1727/1744] Generating ../../reduced_dentate/coreneuron_cpu/parameters/Control.hoc
[1728/1744] Generating ../../reduced_dentate/coreneuron_cpu/run.hoc
[1729/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/BasketCell.hoc
[1730/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC.hoc
[1731/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC_Parameters_passive_na8st.hoc
[1732/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC_Synapses.hoc
[1733/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC_Biophysics_passive_na8st.hoc
[1734/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/DGC_Morphology_from_file_na8st.hoc
[1735/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/HIPPCell.hoc
[1736/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/MPPCell.hoc
[1737/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/Dict.hoc
[1738/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/HICAPCell.hoc
[1739/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/MossyCell.hoc
[1740/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/defvar.hoc
[1741/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/Value.hoc
[1742/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/StimCell.hoc
[1743/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/NGFCell.hoc
[1744/1744] Generating ../../reduced_dentate/coreneuron_cpu/templates/ranstream.hoc
$ ccache -vs 2>/dev/null
Cache directory: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/ccache
Config file: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/ccache/ccache.conf
System config file: /opt/homebrew/Cellar/ccache/4.9/etc/ccache.conf
Stats updated: Tue Jan 30 18:53:56 2024
Cacheable calls: 514 / 514 (100.0%)
Hits: 0 / 514 ( 0.00%)
Direct: 0
Preprocessed: 0
Misses: 514 / 514 (100.0%)
Successful lookups:
Direct: 0 / 514 ( 0.00%)
Preprocessed: 0 / 514 ( 0.00%)
Local storage:
Cache size (GiB): 0.4 / 5.0 ( 7.88%)
Files: 2875
Hits: 0 / 514 ( 0.00%)
Misses: 514 / 514 (100.0%)
Reads: 1028
Writes: 959
$ echo $'[install]\nprefix='>src/nrnpython/setup.cfg
$ cat src/nrnpython/setup.cfg
[install]
prefix=
$ ctest --output-on-failure
Test project /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build
Start 224: external_ringtest::coreneuron_cpu_mpi_offline::preparation
Start 232: testcorenrn_bbcore::coreneuron_cpu_offline::preparation
1/285 Test #232: testcorenrn_bbcore::coreneuron_cpu_offline::preparation .................. Passed 1.35 sec
Start 238: testcorenrn_conc::coreneuron_cpu_offline::preparation
2/285 Test #238: testcorenrn_conc::coreneuron_cpu_offline::preparation .................... Passed 0.21 sec
Start 244: testcorenrn_deriv::coreneuron_cpu_offline::preparation
3/285 Test #244: testcorenrn_deriv::coreneuron_cpu_offline::preparation ................... Passed 0.20 sec
Start 256: testcorenrn_kin::coreneuron_cpu_offline::preparation
4/285 Test #256: testcorenrn_kin::coreneuron_cpu_offline::preparation ..................... Passed 0.22 sec
Start 143: pyinit::nrniv_py3.10_python_check_sys_path::preparation
5/285 Test #143: pyinit::nrniv_py3.10_python_check_sys_path::preparation .................. Passed 0.02 sec
Start 145: pyinit::nrniv_py3.10_nrnpython_check_sys_path::preparation
6/285 Test #145: pyinit::nrniv_py3.10_nrnpython_check_sys_path::preparation ............... Passed 0.02 sec
Start 147: pyinit::nrniv_py3.10_python_check_sys_prefix::preparation
7/285 Test #147: pyinit::nrniv_py3.10_python_check_sys_prefix::preparation ................ Passed 0.02 sec
Start 149: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix::preparation
8/285 Test #149: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix::preparation ............. Passed 0.02 sec
Start 151: pyinit::nrniv_py3.10_python_check_sys_exec_prefix::preparation
9/285 Test #151: pyinit::nrniv_py3.10_python_check_sys_exec_prefix::preparation ........... Passed 0.02 sec
Start 153: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix::preparation
10/285 Test #153: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix::preparation ........ Passed 0.02 sec
Start 155: pyinit::nrniv_py3.10_python_check_sys_base_prefix::preparation
11/285 Test #155: pyinit::nrniv_py3.10_python_check_sys_base_prefix::preparation ........... Passed 0.02 sec
Start 157: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix::preparation
12/285 Test #157: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix::preparation ........ Passed 0.02 sec
Start 159: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix::preparation
13/285 Test #159: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix::preparation ...... Passed 0.02 sec
Start 161: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix::preparation
14/285 Test #161: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix::preparation ... Passed 0.02 sec
Start 163: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding::preparation
15/285 Test #163: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding::preparation ....... Passed 0.02 sec
Start 165: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding::preparation
16/285 Test #165: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding::preparation .... Passed 0.02 sec
Start 167: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding::preparation
17/285 Test #167: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding::preparation ........ Passed 0.02 sec
Start 169: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding::preparation
18/285 Test #169: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding::preparation ..... Passed 0.02 sec
Start 171: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding::preparation
19/285 Test #171: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding::preparation ....... Passed 0.02 sec
Start 173: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding::preparation
20/285 Test #224: external_ringtest::coreneuron_cpu_mpi_offline::preparation ............... Passed 2.28 sec
Start 250: testcorenrn_gf::coreneuron_cpu_offline::preparation
21/285 Test #173: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding::preparation .... Passed 0.02 sec
Start 179: pyinit::nrniv_pydef_python_check_sys_path::preparation
22/285 Test #179: pyinit::nrniv_pydef_python_check_sys_path::preparation ................... Passed 0.02 sec
Start 181: pyinit::nrniv_pydef_nrnpython_check_sys_path::preparation
23/285 Test #181: pyinit::nrniv_pydef_nrnpython_check_sys_path::preparation ................ Passed 0.02 sec
Start 183: pyinit::nrniv_pydef_python_check_sys_prefix::preparation
24/285 Test #183: pyinit::nrniv_pydef_python_check_sys_prefix::preparation ................. Passed 0.02 sec
Start 185: pyinit::nrniv_pydef_nrnpython_check_sys_prefix::preparation
25/285 Test #185: pyinit::nrniv_pydef_nrnpython_check_sys_prefix::preparation .............. Passed 0.02 sec
Start 187: pyinit::nrniv_pydef_python_check_sys_exec_prefix::preparation
26/285 Test #187: pyinit::nrniv_pydef_python_check_sys_exec_prefix::preparation ............ Passed 0.02 sec
Start 189: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix::preparation
27/285 Test #189: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix::preparation ......... Passed 0.02 sec
Start 191: pyinit::nrniv_pydef_python_check_sys_base_prefix::preparation
28/285 Test #191: pyinit::nrniv_pydef_python_check_sys_base_prefix::preparation ............ Passed 0.02 sec
Start 193: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix::preparation
29/285 Test #193: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix::preparation ......... Passed 0.02 sec
Start 195: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix::preparation
30/285 Test #195: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix::preparation ....... Passed 0.02 sec
Start 197: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix::preparation
31/285 Test #197: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix::preparation .... Passed 0.02 sec
Start 199: pyinit::nrniv_pydef_python_check_sys_stderr.encoding::preparation
32/285 Test #199: pyinit::nrniv_pydef_python_check_sys_stderr.encoding::preparation ........ Passed 0.02 sec
Start 201: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding::preparation
33/285 Test #250: testcorenrn_gf::coreneuron_cpu_offline::preparation ...................... Passed 0.23 sec
Start 261: testcorenrn_patstim::coreneuron_cpu_offline::preparation
34/285 Test #201: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding::preparation ..... Passed 0.02 sec
Start 203: pyinit::nrniv_pydef_python_check_sys_stdin.encoding::preparation
35/285 Test #203: pyinit::nrniv_pydef_python_check_sys_stdin.encoding::preparation ......... Passed 0.02 sec
Start 205: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding::preparation
36/285 Test #205: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding::preparation ...... Passed 0.02 sec
Start 207: pyinit::nrniv_pydef_python_check_sys_stdout.encoding::preparation
37/285 Test #207: pyinit::nrniv_pydef_python_check_sys_stdout.encoding::preparation ........ Passed 0.02 sec
Start 209: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding::preparation
38/285 Test #209: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding::preparation ..... Passed 0.02 sec
Start 217: external_ringtest::neuron
39/285 Test #261: testcorenrn_patstim::coreneuron_cpu_offline::preparation ................. Passed 0.28 sec
Start 267: testcorenrn_vecplay::coreneuron_cpu_offline::preparation
40/285 Test #267: testcorenrn_vecplay::coreneuron_cpu_offline::preparation ................. Passed 0.23 sec
Start 279: testcorenrn_watch::coreneuron_cpu_offline::preparation
41/285 Test #279: testcorenrn_watch::coreneuron_cpu_offline::preparation ................... Passed 0.23 sec
Start 218: external_ringtest::neuron_mpi
42/285 Test #218: external_ringtest::neuron_mpi ............................................ Passed 2.31 sec
Start 219: external_ringtest::neuron_mpi_python
43/285 Test #217: external_ringtest::neuron ................................................ Passed 4.18 sec
Start 228: testcorenrn_bbcore::neuron
44/285 Test #228: testcorenrn_bbcore::neuron ............................................... Passed 0.22 sec
Start 229: testcorenrn_bbcore::coreneuron_cpu_online
45/285 Test #229: testcorenrn_bbcore::coreneuron_cpu_online ................................ Passed 0.47 sec
Start 230: testcorenrn_bbcore::coreneuron_cpu_online_psolve_alternate
46/285 Test #230: testcorenrn_bbcore::coreneuron_cpu_online_psolve_alternate ............... Passed 0.54 sec
Start 231: testcorenrn_bbcore::coreneuron_cpu_offline
47/285 Test #219: external_ringtest::neuron_mpi_python ..................................... Passed 2.67 sec
Start 220: external_ringtest::coreneuron_cpu_mpi_offline_saverestore
48/285 Test #231: testcorenrn_bbcore::coreneuron_cpu_offline ............................... Passed 0.43 sec
Start 234: testcorenrn_conc::neuron
49/285 Test #234: testcorenrn_conc::neuron ................................................. Passed 0.26 sec
Start 235: testcorenrn_conc::coreneuron_cpu_online
50/285 Test #235: testcorenrn_conc::coreneuron_cpu_online .................................. Passed 0.48 sec
Start 236: testcorenrn_conc::coreneuron_cpu_online_psolve_alternate
51/285 Test #236: testcorenrn_conc::coreneuron_cpu_online_psolve_alternate ................. Passed 0.54 sec
Start 237: testcorenrn_conc::coreneuron_cpu_offline
52/285 Test #220: external_ringtest::coreneuron_cpu_mpi_offline_saverestore ................ Passed 1.58 sec
Start 221: external_ringtest::coreneuron_cpu_mpi
53/285 Test #237: testcorenrn_conc::coreneuron_cpu_offline ................................. Passed 0.18 sec
Start 240: testcorenrn_deriv::neuron
54/285 Test #240: testcorenrn_deriv::neuron ................................................ Passed 0.26 sec
Start 241: testcorenrn_deriv::coreneuron_cpu_online
55/285 Test #241: testcorenrn_deriv::coreneuron_cpu_online ................................. Passed 0.48 sec
Start 242: testcorenrn_deriv::coreneuron_cpu_online_psolve_alternate
56/285 Test #221: external_ringtest::coreneuron_cpu_mpi .................................... Passed 0.90 sec
Start 222: external_ringtest::coreneuron_cpu_mpi_python
57/285 Test #242: testcorenrn_deriv::coreneuron_cpu_online_psolve_alternate ................ Passed 0.79 sec
Start 243: testcorenrn_deriv::coreneuron_cpu_offline
58/285 Test #243: testcorenrn_deriv::coreneuron_cpu_offline ................................ Passed 0.18 sec
Start 252: testcorenrn_kin::neuron
59/285 Test #252: testcorenrn_kin::neuron .................................................. Passed 0.23 sec
Start 253: testcorenrn_kin::coreneuron_cpu_online
60/285 Test #222: external_ringtest::coreneuron_cpu_mpi_python ............................. Passed 1.19 sec
Start 223: external_ringtest::coreneuron_cpu_mpi_offline
61/285 Test #253: testcorenrn_kin::coreneuron_cpu_online ................................... Passed 0.49 sec
Start 254: testcorenrn_kin::coreneuron_cpu_online_psolve_alternate
62/285 Test #223: external_ringtest::coreneuron_cpu_mpi_offline ............................ Passed 0.46 sec
Start 246: testcorenrn_gf::neuron
63/285 Test #254: testcorenrn_kin::coreneuron_cpu_online_psolve_alternate .................. Passed 0.54 sec
Start 255: testcorenrn_kin::coreneuron_cpu_offline
64/285 Test #255: testcorenrn_kin::coreneuron_cpu_offline .................................. Passed 0.18 sec
Start 1: cmd_interface_test
65/285 Test #1: cmd_interface_test ....................................................... Passed 0.52 sec
Start 2: interleave_info_constructor_test
66/285 Test #2: interleave_info_constructor_test ......................................... Passed 0.30 sec
Start 3: alignment_test
67/285 Test #3: alignment_test ........................................................... Passed 0.30 sec
Start 4: queuing_test
68/285 Test #246: testcorenrn_gf::neuron ................................................... Passed 1.95 sec
Start 247: testcorenrn_gf::coreneuron_cpu_online
69/285 Test #4: queuing_test ............................................................. Passed 0.30 sec
Start 5: test-solver
70/285 Test #5: test-solver .............................................................. Passed 0.40 sec
Start 6: lfp_test
71/285 Test #247: testcorenrn_gf::coreneuron_cpu_online .................................... Passed 0.64 sec
Start 248: testcorenrn_gf::coreneuron_cpu_online_psolve_alternate
72/285 Test #6: lfp_test ................................................................. Passed 0.37 sec
Start 7: unit_tests::testneuron
73/285 Test #7: unit_tests::testneuron ................................................... Passed 0.34 sec
Start 8: unit_tests::testneuron_soa_erase_calls_terminate
74/285 Test #8: unit_tests::testneuron_soa_erase_calls_terminate ......................... Passed 0.10 sec
Start 9: ringtest
75/285 Test #9: ringtest ................................................................. Passed 0.49 sec
Start 10: connect_dend
76/285 Test #10: connect_dend ............................................................. Passed 0.17 sec
Start 11: mpi_init::nrniv_mpiopt
77/285 Test #11: mpi_init::nrniv_mpiopt ................................................... Passed 0.18 sec
Start 12: mpi_init::nrniv_nrnmpi_init
78/285 Test #12: mpi_init::nrniv_nrnmpi_init .............................................. Passed 0.19 sec
Start 13: mpi_init::python_nrnmpi_init
79/285 Test #248: testcorenrn_gf::coreneuron_cpu_online_psolve_alternate ................... Passed 1.77 sec
Start 249: testcorenrn_gf::coreneuron_cpu_offline
80/285 Test #249: testcorenrn_gf::coreneuron_cpu_offline ................................... Passed 0.48 sec
Start 258: testcorenrn_patstim::neuron
81/285 Test #13: mpi_init::python_nrnmpi_init ............................................. Passed 0.56 sec
Start 14: mpi_init::python_mpienv
82/285 Test #258: testcorenrn_patstim::neuron .............................................. Passed 0.46 sec
Start 259: testcorenrn_patstim::coreneuron_cpu_offline_saverestore
83/285 Test #14: mpi_init::python_mpienv .................................................. Passed 0.58 sec
Start 15: mpi_init::nrniv_mpiexec_mpiopt
84/285 Test #15: mpi_init::nrniv_mpiexec_mpiopt ........................................... Passed 0.18 sec
Start 16: mpi_init::nrniv_mpiexec_nrnmpi_init
85/285 Test #16: mpi_init::nrniv_mpiexec_nrnmpi_init ...................................... Passed 0.17 sec
Start 17: mpi_init::python_mpiexec_nrnmpi_init
86/285 Test #259: testcorenrn_patstim::coreneuron_cpu_offline_saverestore .................. Passed 0.66 sec
Start 260: testcorenrn_patstim::coreneuron_cpu_offline
87/285 Test #260: testcorenrn_patstim::coreneuron_cpu_offline .............................. Passed 0.29 sec
Start 263: testcorenrn_vecplay::neuron
88/285 Test #17: mpi_init::python_mpiexec_nrnmpi_init ..................................... Passed 0.65 sec
Start 18: mpi_init::python_mpiexec_mpienv
89/285 Test #263: testcorenrn_vecplay::neuron .............................................. Passed 0.35 sec
Start 264: testcorenrn_vecplay::coreneuron_cpu_online
90/285 Test #18: mpi_init::python_mpiexec_mpienv .......................................... Passed 0.66 sec
Start 19: unit_tests::python_unit_tests
91/285 Test #264: testcorenrn_vecplay::coreneuron_cpu_online ............................... Passed 0.66 sec
Start 265: testcorenrn_vecplay::coreneuron_cpu_online_psolve_alternate
92/285 Test #19: unit_tests::python_unit_tests ............................................ Passed 0.93 sec
Start 20: pytest::basic_tests_py3.10
93/285 Test #265: testcorenrn_vecplay::coreneuron_cpu_online_psolve_alternate .............. Passed 0.82 sec
Start 266: testcorenrn_vecplay::coreneuron_cpu_offline
94/285 Test #266: testcorenrn_vecplay::coreneuron_cpu_offline .............................. Passed 0.19 sec
Start 275: testcorenrn_watch::neuron
95/285 Test #275: testcorenrn_watch::neuron ................................................ Passed 0.46 sec
Start 276: testcorenrn_watch::coreneuron_cpu_online
96/285 Test #20: pytest::basic_tests_py3.10 ............................................... Passed 0.89 sec
Start 21: pytest_coreneuron::basic_tests_py3.10
97/285 Test #276: testcorenrn_watch::coreneuron_cpu_online ................................. Passed 0.56 sec
Start 277: testcorenrn_watch::coreneuron_cpu_online_psolve_alternate
98/285 Test #277: testcorenrn_watch::coreneuron_cpu_online_psolve_alternate ................ Passed 0.82 sec
Start 278: testcorenrn_watch::coreneuron_cpu_offline
99/285 Test #278: testcorenrn_watch::coreneuron_cpu_offline ................................ Passed 0.19 sec
Start 281: testcorenrn_netstimdirect::direct_netstimdirect
100/285 Test #281: testcorenrn_netstimdirect::direct_netstimdirect .......................... Passed 0.91 sec
Start 282: testcorenrn_netstimdirect::direct_netstimdirect_psolve_alternate
101/285 Test #282: testcorenrn_netstimdirect::direct_netstimdirect_psolve_alternate ......... Passed 0.86 sec
Start 22: datahandle::datahandle_tests
Start 23: coverage_tests::cover_tests
102/285 Test #22: datahandle::datahandle_tests ............................................. Passed 0.98 sec
Start 24: example_nmodl::ca_ap_hoc
103/285 Test #23: coverage_tests::cover_tests .............................................. Passed 1.17 sec
Start 25: example_nmodl::cabpump_hoc
104/285 Test #24: example_nmodl::ca_ap_hoc ................................................. Passed 0.56 sec
Start 26: example_nmodl::cachan_hoc
105/285 Test #25: example_nmodl::cabpump_hoc ............................................... Passed 0.42 sec
Start 27: example_nmodl::cadif_hoc
106/285 Test #26: example_nmodl::cachan_hoc ................................................ Passed 0.14 sec
Start 28: example_nmodl::cadifusl_hoc
107/285 Test #27: example_nmodl::cadif_hoc ................................................. Passed 0.17 sec
Start 29: example_nmodl::cadifusl1_hoc
108/285 Test #28: example_nmodl::cadifusl_hoc .............................................. Passed 0.20 sec
Start 30: example_nmodl::cadifusl2_hoc
109/285 Test #30: example_nmodl::cadifusl2_hoc ............................................. Passed 0.17 sec
Start 31: example_nmodl::cagk_hoc
110/285 Test #29: example_nmodl::cadifusl1_hoc ............................................. Passed 0.29 sec
Start 32: example_nmodl::capmp_hoc
111/285 Test #21: pytest_coreneuron::basic_tests_py3.10 .................................... Passed 5.18 sec
Start 33: example_nmodl::cv_hoc
112/285 Test #31: example_nmodl::cagk_hoc .................................................. Passed 0.14 sec
Start 34: example_nmodl::fh_hoc
113/285 Test #33: example_nmodl::cv_hoc .................................................... Passed 0.13 sec
Start 35: example_nmodl::fit1_hoc
114/285 Test #32: example_nmodl::capmp_hoc ................................................. Passed 0.25 sec
Start 36: example_nmodl::gap_hoc
115/285 Test #34: example_nmodl::fh_hoc .................................................... Passed 0.13 sec
Start 37: example_nmodl::hh1_hoc
116/285 Test #35: example_nmodl::fit1_hoc .................................................. Passed 0.13 sec
Start 38: example_nmodl::hhvect_hoc
117/285 Test #36: example_nmodl::gap_hoc ................................................... Passed 0.15 sec
Start 39: example_nmodl::nacum_hoc
118/285 Test #37: example_nmodl::hh1_hoc ................................................... Passed 0.14 sec
Start 40: example_nmodl::nadifl1_hoc
119/285 Test #38: example_nmodl::hhvect_hoc ................................................ Passed 0.14 sec
Start 41: example_nmodl::nadifl2_hoc
120/285 Test #39: example_nmodl::nacum_hoc ................................................. Passed 0.13 sec
Start 42: example_nmodl::nadifl3_hoc
121/285 Test #40: example_nmodl::nadifl1_hoc ............................................... Passed 0.16 sec
Start 43: example_nmodl::nadifl4_hoc
122/285 Test #41: example_nmodl::nadifl2_hoc ............................................... Passed 0.17 sec
Start 44: example_nmodl::obj_ex_hoc
123/285 Test #42: example_nmodl::nadifl3_hoc ............................................... Passed 0.17 sec
Start 45: example_nmodl::order_hoc
124/285 Test #44: example_nmodl::obj_ex_hoc ................................................ Passed 0.13 sec
Start 46: example_nmodl::passiv_hoc
125/285 Test #43: example_nmodl::nadifl4_hoc ............................................... Passed 0.17 sec
Start 47: example_nmodl::stim1_hoc
126/285 Test #46: example_nmodl::passiv_hoc ................................................ Passed 0.14 sec
Start 48: example_nmodl::syn1_hoc
127/285 Test #45: example_nmodl::order_hoc ................................................. Passed 0.17 sec
Start 49: example_nmodl::synpre_hoc
128/285 Test #47: example_nmodl::stim1_hoc ................................................. Passed 0.14 sec
Start 50: example_nmodl::tstextrn_hoc
129/285 Test #48: example_nmodl::syn1_hoc .................................................. Passed 0.14 sec
Start 51: example_nmodl::tstpnt1_hoc
130/285 Test #50: example_nmodl::tstextrn_hoc .............................................. Passed 0.13 sec
Start 52: example_nmodl::tstpnt2_hoc
131/285 Test #49: example_nmodl::synpre_hoc ................................................ Passed 0.20 sec
Start 53: example_nmodl::tstvec_hoc
132/285 Test #51: example_nmodl::tstpnt1_hoc ............................................... Passed 0.14 sec
Start 54: example_nmodl::cagkftab_py
133/285 Test #52: example_nmodl::tstpnt2_hoc ............................................... Passed 0.13 sec
Start 55: example_nmodl::expsynspine_py
134/285 Test #53: example_nmodl::tstvec_hoc ................................................ Passed 0.14 sec
Start 56: example_nmodl::nonlin_py
135/285 Test #55: example_nmodl::expsynspine_py ............................................ Passed 0.83 sec
Start 57: example_nmodl::tstpnt1_py
136/285 Test #57: example_nmodl::tstpnt1_py ................................................ Passed 0.51 sec
Start 58: example_nmodl::tstpnt2_py
137/285 Test #58: example_nmodl::tstpnt2_py ................................................ Passed 0.50 sec
Start 59: example_nmodl::vlag_py
138/285 Test #59: example_nmodl::vlag_py ................................................... Passed 0.53 sec
Start 60: hoctests::test_loadbal_hoc
139/285 Test #56: example_nmodl::nonlin_py ................................................. Passed 2.72 sec
Start 61: hoctests::perf1_hoc
140/285 Test #60: hoctests::test_loadbal_hoc ............................................... Passed 0.54 sec
Start 62: hoctests::test1_hoc
141/285 Test #62: hoctests::test1_hoc ...................................................... Passed 0.35 sec
Start 63: hoctests::test2_hoc
142/285 Test #63: hoctests::test2_hoc ...................................................... Passed 0.21 sec
Start 64: hoctests::test3_hoc
143/285 Test #64: hoctests::test3_hoc ...................................................... Passed 0.15 sec
Start 65: hoctests::test4_hoc
144/285 Test #65: hoctests::test4_hoc ...................................................... Passed 0.13 sec
Start 66: hoctests::test5_hoc
145/285 Test #66: hoctests::test5_hoc ...................................................... Passed 0.12 sec
Start 67: hoctests::test6_hoc
146/285 Test #67: hoctests::test6_hoc ...................................................... Passed 0.12 sec
Start 68: hoctests::test7_hoc
147/285 Test #68: hoctests::test7_hoc ...................................................... Passed 0.13 sec
Start 69: hoctests::test8_hoc
148/285 Test #61: hoctests::perf1_hoc ...................................................... Passed 1.45 sec
Start 70: hoctests::test9_hoc
149/285 Test #69: hoctests::test8_hoc ...................................................... Passed 0.13 sec
Start 71: hoctests::test_cvinterp_py
150/285 Test #54: example_nmodl::cagkftab_py ............................................... Passed 4.38 sec
Start 72: hoctests::test_hocGUI2_py
151/285 Test #70: hoctests::test9_hoc ...................................................... Passed 0.13 sec
Start 73: hoctests::test_kschan_py
152/285 Test #71: hoctests::test_cvinterp_py ............................................... Passed 0.80 sec
Start 74: hoctests::test_mechfunc_py
153/285 Test #72: hoctests::test_hocGUI2_py ................................................ Passed 0.73 sec
Start 75: hoctests::test_mode_py
154/285 Test #73: hoctests::test_kschan_py ................................................. Passed 0.80 sec
Start 76: hoctests::test_neurondemo_py
155/285 Test #74: hoctests::test_mechfunc_py ............................................... Passed 0.79 sec
Start 77: hoctests::test_nrniv-launch_py
156/285 Test #75: hoctests::test_mode_py ................................................... Passed 0.78 sec
Start 78: hoctests::test_setdata_py
157/285 Test #76: hoctests::test_neurondemo_py ............................................. Passed 0.77 sec
Start 79: hoctests::test_shape_py
158/285 Test #77: hoctests::test_nrniv-launch_py ........................................... Passed 0.38 sec
Start 80: hoctests::test_thread_partition_py
159/285 Test #78: hoctests::test_setdata_py ................................................ Passed 0.81 sec
Start 81: hoctests::test1_py
160/285 Test #79: hoctests::test_shape_py .................................................. Passed 0.84 sec
Start 82: hoctests::test2_py
161/285 Test #80: hoctests::test_thread_partition_py ....................................... Passed 1.04 sec
Start 83: hoctests::test9_py
162/285 Test #82: hoctests::test2_py ....................................................... Passed 0.63 sec
Start 89: coreneuron_standalone::test_nrn_corenrn_standalone
163/285 Test #81: hoctests::test1_py ....................................................... Passed 0.79 sec
Start 90: nmodl_tests::test_table
164/285 Test #83: hoctests::test9_py ....................................................... Passed 0.60 sec
Start 91: nmodl_tests::test_disc
165/285 Test #89: coreneuron_standalone::test_nrn_corenrn_standalone ....................... Passed 1.15 sec
Start 92: nmodl_tests::test_function_table
166/285 Test #90: nmodl_tests::test_table .................................................. Passed 1.29 sec
Start 93: nmodl_tests::test_kinetic
167/285 Test #91: nmodl_tests::test_disc ................................................... Passed 0.96 sec
Start 94: coreneuron_modtests::version_macros
168/285 Test #92: nmodl_tests::test_function_table ......................................... Passed 0.90 sec
Start 96: coreneuron_modtests::fornetcon_py_cpu
169/285 Test #93: nmodl_tests::test_kinetic ................................................ Passed 1.07 sec
Start 97: coreneuron_modtests::direct_py_cpu
170/285 Test #94: coreneuron_modtests::version_macros ...................................... Passed 1.36 sec
Start 98: coreneuron_modtests::direct_hoc_cpu
171/285 Test #96: coreneuron_modtests::fornetcon_py_cpu .................................... Passed 1.29 sec
Start 99: coreneuron_modtests::spikes_py_cpu
172/285 Test #98: coreneuron_modtests::direct_hoc_cpu ...................................... Passed 0.69 sec
Start 100: coreneuron_modtests::spikes_file_mode_py_cpu
173/285 Test #97: coreneuron_modtests::direct_py_cpu ....................................... Passed 1.04 sec
Start 101: coreneuron_modtests::fast_imem_py_cpu
174/285 Test #100: coreneuron_modtests::spikes_file_mode_py_cpu ............................. Passed 0.94 sec
Start 102: coreneuron_modtests::datareturn_py_cpu
175/285 Test #99: coreneuron_modtests::spikes_py_cpu ....................................... Passed 1.06 sec
Start 103: coreneuron_modtests::test_units_py_cpu
176/285 Test #101: coreneuron_modtests::fast_imem_py_cpu .................................... Passed 1.17 sec
Start 104: coreneuron_modtests::test_netmove_py_cpu
177/285 Test #103: coreneuron_modtests::test_units_py_cpu ................................... Passed 0.79 sec
Start 105: coreneuron_modtests::test_pointer_py_cpu
178/285 Test #102: coreneuron_modtests::datareturn_py_cpu ................................... Passed 1.52 sec
Start 106: coreneuron_modtests::test_watchrange_py_cpu
179/285 Test #104: coreneuron_modtests::test_netmove_py_cpu ................................. Passed 1.51 sec
Start 107: coreneuron_modtests::test_psolve_py_cpu
180/285 Test #106: coreneuron_modtests::test_watchrange_py_cpu .............................. Passed 0.75 sec
Start 108: coreneuron_modtests::test_ba_py_cpu
181/285 Test #107: coreneuron_modtests::test_psolve_py_cpu .................................. Passed 1.14 sec
Start 109: coreneuron_modtests::test_natrans_py_cpu
182/285 Test #108: coreneuron_modtests::test_ba_py_cpu ...................................... Passed 0.73 sec
Start 112: nmodl_tests_coreneuron::test_table_coreneuron_cpu
183/285 Test #112: nmodl_tests_coreneuron::test_table_coreneuron_cpu ........................ Passed 0.80 sec
Start 113: nmodl_tests_coreneuron::test_disc_coreneuron_cpu
184/285 Test #109: coreneuron_modtests::test_natrans_py_cpu ................................. Passed 0.91 sec
Start 114: nmodl_tests_coreneuron::test_function_table_coreneuron_cpu
185/285 Test #105: coreneuron_modtests::test_pointer_py_cpu ................................. Passed 3.32 sec
Start 115: nmodl_tests_coreneuron::test_kinetic_coreneuron_cpu
186/285 Test #113: nmodl_tests_coreneuron::test_disc_coreneuron_cpu ......................... Passed 0.77 sec
Start 116: modlunit_unitstest
187/285 Test #114: nmodl_tests_coreneuron::test_function_table_coreneuron_cpu ............... Passed 0.77 sec
Start 117: modlunit_hh
188/285 Test #116: modlunit_unitstest ....................................................... Passed 0.30 sec
Start 118: modlunit_stim
189/285 Test #117: modlunit_hh .............................................................. Passed 0.30 sec
Start 119: modlunit_pattern
190/285 Test #118: modlunit_stim ............................................................ Passed 0.09 sec
Start 120: api::unit_tests
191/285 Test #119: modlunit_pattern ......................................................... Passed 0.09 sec
Start 121: api::hh_sim_cpp
192/285 Test #115: nmodl_tests_coreneuron::test_kinetic_coreneuron_cpu ...................... Passed 0.87 sec
Start 122: api::netcon_cpp
193/285 Test #120: api::unit_tests .......................................................... Passed 0.32 sec
Start 123: api::sections_c
194/285 Test #121: api::hh_sim_cpp .......................................................... Passed 0.52 sec
Start 124: api::vclamp_cpp
195/285 Test #122: api::netcon_cpp .......................................................... Passed 0.83 sec
Start 125: pyinit::nrniv_script.py
196/285 Test #123: api::sections_c .......................................................... Passed 0.57 sec
Start 126: pyinit::nrniv_script.py_error
197/285 Test #125: pyinit::nrniv_script.py .................................................. Passed 0.12 sec
Start 127: pyinit::nrniv_two_scripts.py
198/285 Test #126: pyinit::nrniv_script.py_error ............................................ Passed 0.11 sec
Start 128: pyinit::nrniv_two_scripts.py_error_check_code
199/285 Test #127: pyinit::nrniv_two_scripts.py ............................................. Passed 0.13 sec
Start 129: pyinit::nrniv_two_scripts.py_error_check_output
200/285 Test #124: api::vclamp_cpp .......................................................... Passed 0.60 sec
Start 130: pyinit::nrniv_python_command
201/285 Test #128: pyinit::nrniv_two_scripts.py_error_check_code ............................ Passed 0.12 sec
Start 131: pyinit::nrniv_python_command_error
202/285 Test #129: pyinit::nrniv_two_scripts.py_error_check_output .......................... Passed 0.12 sec
Start 132: pyinit::nrniv_python_script.py
203/285 Test #130: pyinit::nrniv_python_command ............................................. Passed 0.13 sec
Start 133: pyinit::nrniv_python_script.py_error
204/285 Test #131: pyinit::nrniv_python_command_error ....................................... Passed 0.13 sec
Start 134: pyinit::nrniv_python_command_twice
205/285 Test #132: pyinit::nrniv_python_script.py ........................................... Passed 0.13 sec
Start 135: pyinit::nrniv_python_script.py_twice
206/285 Test #133: pyinit::nrniv_python_script.py_error ..................................... Passed 0.13 sec
Start 136: pyinit::nrniv_python_command_args
207/285 Test #134: pyinit::nrniv_python_command_twice ....................................... Passed 0.13 sec
Start 137: pyinit::nrniv_python_script.py_args
208/285 Test #135: pyinit::nrniv_python_script.py_twice ..................................... Passed 0.13 sec
Start 138: pyinit::nrniv_nopython_PythonObject
209/285 Test #136: pyinit::nrniv_python_command_args ........................................ Passed 0.13 sec
Start 139: pyinit::nrniv_nopython_PythonObject_pyexe
210/285 Test #137: pyinit::nrniv_python_script.py_args ...................................... Passed 0.13 sec
Start 140: pyinit::nrniv_nopython_PythonObject_pyenv
211/285 Test #138: pyinit::nrniv_nopython_PythonObject ...................................... Passed 0.11 sec
Start 141: pyinit::nrniv_py3.10_python_command_version_check
212/285 Test #140: pyinit::nrniv_nopython_PythonObject_pyenv ................................ Passed 0.11 sec
Start 142: pyinit::nrniv_py3.10_python_check_sys_path
213/285 Test #139: pyinit::nrniv_nopython_PythonObject_pyexe ................................ Passed 0.11 sec
Start 144: pyinit::nrniv_py3.10_nrnpython_check_sys_path
214/285 Test #141: pyinit::nrniv_py3.10_python_command_version_check ........................ Passed 0.13 sec
Start 146: pyinit::nrniv_py3.10_python_check_sys_prefix
215/285 Test #142: pyinit::nrniv_py3.10_python_check_sys_path ............................... Passed 0.13 sec
Start 148: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix
216/285 Test #144: pyinit::nrniv_py3.10_nrnpython_check_sys_path ............................ Passed 0.13 sec
Start 150: pyinit::nrniv_py3.10_python_check_sys_exec_prefix
217/285 Test #146: pyinit::nrniv_py3.10_python_check_sys_prefix ............................. Passed 0.13 sec
Start 152: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix
218/285 Test #148: pyinit::nrniv_py3.10_nrnpython_check_sys_prefix .......................... Passed 0.13 sec
Start 154: pyinit::nrniv_py3.10_python_check_sys_base_prefix
219/285 Test #150: pyinit::nrniv_py3.10_python_check_sys_exec_prefix ........................ Passed 0.13 sec
Start 156: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix
220/285 Test #152: pyinit::nrniv_py3.10_nrnpython_check_sys_exec_prefix ..................... Passed 0.13 sec
Start 158: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix
221/285 Test #156: pyinit::nrniv_py3.10_nrnpython_check_sys_base_prefix ..................... Passed 0.13 sec
Start 160: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix
222/285 Test #154: pyinit::nrniv_py3.10_python_check_sys_base_prefix ........................ Passed 0.13 sec
Start 162: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding
223/285 Test #158: pyinit::nrniv_py3.10_python_check_sys_base_exec_prefix ................... Passed 0.13 sec
Start 164: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding
224/285 Test #160: pyinit::nrniv_py3.10_nrnpython_check_sys_base_exec_prefix ................ Passed 0.13 sec
Start 166: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding
225/285 Test #162: pyinit::nrniv_py3.10_python_check_sys_stderr.encoding .................... Passed 0.13 sec
Start 168: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding
226/285 Test #164: pyinit::nrniv_py3.10_nrnpython_check_sys_stderr.encoding ................. Passed 0.13 sec
Start 170: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding
227/285 Test #166: pyinit::nrniv_py3.10_python_check_sys_stdin.encoding ..................... Passed 0.13 sec
Start 172: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding
228/285 Test #168: pyinit::nrniv_py3.10_nrnpython_check_sys_stdin.encoding .................. Passed 0.13 sec
Start 174: pyinit::nrniv_py3.10_python_command_import_neuron
229/285 Test #170: pyinit::nrniv_py3.10_python_check_sys_stdout.encoding .................... Passed 0.13 sec
Start 175: pyinit::py3.10_command_import_neuron
230/285 Test #172: pyinit::nrniv_py3.10_nrnpython_check_sys_stdout.encoding ................. Passed 0.13 sec
Start 176: pyinit::nrniv_py3.10_hoc_command_nrnpython
231/285 Test #176: pyinit::nrniv_py3.10_hoc_command_nrnpython ............................... Passed 0.35 sec
Start 177: pyinit::nrniv_pydef_python_command_version_check
232/285 Test #174: pyinit::nrniv_py3.10_python_command_import_neuron ........................ Passed 0.64 sec
Start 178: pyinit::nrniv_pydef_python_check_sys_path
233/285 Test #177: pyinit::nrniv_pydef_python_command_version_check ......................... Passed 0.19 sec
Start 180: pyinit::nrniv_pydef_nrnpython_check_sys_path
234/285 Test #175: pyinit::py3.10_command_import_neuron ..................................... Passed 0.63 sec
Start 182: pyinit::nrniv_pydef_python_check_sys_prefix
235/285 Test #178: pyinit::nrniv_pydef_python_check_sys_path ................................ Passed 0.13 sec
Start 184: pyinit::nrniv_pydef_nrnpython_check_sys_prefix
236/285 Test #180: pyinit::nrniv_pydef_nrnpython_check_sys_path ............................. Passed 0.14 sec
Start 186: pyinit::nrniv_pydef_python_check_sys_exec_prefix
237/285 Test #182: pyinit::nrniv_pydef_python_check_sys_prefix .............................. Passed 0.13 sec
Start 188: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix
238/285 Test #184: pyinit::nrniv_pydef_nrnpython_check_sys_prefix ........................... Passed 0.13 sec
Start 190: pyinit::nrniv_pydef_python_check_sys_base_prefix
239/285 Test #186: pyinit::nrniv_pydef_python_check_sys_exec_prefix ......................... Passed 0.13 sec
Start 192: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix
240/285 Test #188: pyinit::nrniv_pydef_nrnpython_check_sys_exec_prefix ...................... Passed 0.13 sec
Start 194: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix
241/285 Test #190: pyinit::nrniv_pydef_python_check_sys_base_prefix ......................... Passed 0.13 sec
Start 196: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix
242/285 Test #192: pyinit::nrniv_pydef_nrnpython_check_sys_base_prefix ...................... Passed 0.13 sec
Start 198: pyinit::nrniv_pydef_python_check_sys_stderr.encoding
243/285 Test #194: pyinit::nrniv_pydef_python_check_sys_base_exec_prefix .................... Passed 0.13 sec
Start 200: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding
244/285 Test #196: pyinit::nrniv_pydef_nrnpython_check_sys_base_exec_prefix ................. Passed 0.13 sec
Start 202: pyinit::nrniv_pydef_python_check_sys_stdin.encoding
245/285 Test #198: pyinit::nrniv_pydef_python_check_sys_stderr.encoding ..................... Passed 0.13 sec
Start 204: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding
246/285 Test #200: pyinit::nrniv_pydef_nrnpython_check_sys_stderr.encoding .................. Passed 0.13 sec
Start 206: pyinit::nrniv_pydef_python_check_sys_stdout.encoding
247/285 Test #202: pyinit::nrniv_pydef_python_check_sys_stdin.encoding ...................... Passed 0.13 sec
Start 208: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding
248/285 Test #204: pyinit::nrniv_pydef_nrnpython_check_sys_stdin.encoding ................... Passed 0.13 sec
Start 210: pyinit::nrniv_pydef_python_command_import_neuron
249/285 Test #206: pyinit::nrniv_pydef_python_check_sys_stdout.encoding ..................... Passed 0.13 sec
Start 211: pyinit::pydef_command_import_neuron
250/285 Test #208: pyinit::nrniv_pydef_nrnpython_check_sys_stdout.encoding .................. Passed 0.13 sec
Start 212: pyinit::nrniv_pydef_hoc_command_nrnpython
251/285 Test #212: pyinit::nrniv_pydef_hoc_command_nrnpython ................................ Passed 0.23 sec
Start 213: external_nrntest
252/285 Test #210: pyinit::nrniv_pydef_python_command_import_neuron ......................... Passed 0.61 sec
Start 233: testcorenrn_bbcore::compare_results
253/285 Test #211: pyinit::pydef_command_import_neuron ...................................... Passed 0.60 sec
Start 239: testcorenrn_conc::compare_results
254/285 Test #239: testcorenrn_conc::compare_results ........................................ Passed 0.16 sec
Start 245: testcorenrn_deriv::compare_results
255/285 Test #233: testcorenrn_bbcore::compare_results ...................................... Passed 0.18 sec
Start 251: testcorenrn_gf::compare_results
256/285 Test #245: testcorenrn_deriv::compare_results ....................................... Passed 0.02 sec
Start 257: testcorenrn_kin::compare_results
257/285 Test #251: testcorenrn_gf::compare_results .......................................... Passed 0.02 sec
Start 262: testcorenrn_patstim::compare_results
258/285 Test #257: testcorenrn_kin::compare_results ......................................... Passed 0.02 sec
Start 268: testcorenrn_vecplay::compare_results
259/285 Test #262: testcorenrn_patstim::compare_results ..................................... Passed 0.02 sec
Start 280: testcorenrn_watch::compare_results
260/285 Test #268: testcorenrn_vecplay::compare_results ..................................... Passed 0.02 sec
Start 283: testcorenrn_netstimdirect::compare_results
261/285 Test #280: testcorenrn_watch::compare_results ....................................... Passed 0.02 sec
262/285 Test #283: testcorenrn_netstimdirect::compare_results ............................... Passed 0.02 sec
Start 85: parallel::partrans
263/285 Test #85: parallel::partrans ....................................................... Passed 0.92 sec
Start 86: parallel::netpar
264/285 Test #86: parallel::netpar ......................................................... Passed 0.73 sec
Start 87: parallel::bas
265/285 Test #87: parallel::bas ............................................................ Passed 3.62 sec
Start 88: parallel::nrntest_fast
266/285 Test #88: parallel::nrntest_fast ................................................... Passed 1.28 sec
Start 95: coreneuron_modtests::inputpresyn_py
267/285 Test #95: coreneuron_modtests::inputpresyn_py ...................................... Passed 0.73 sec
Start 110: coreneuron_modtests::spikes_mpi_file_mode_py_cpu
268/285 Test #110: coreneuron_modtests::spikes_mpi_file_mode_py_cpu ......................... Passed 0.82 sec
Start 284: tqperf::coreneuron_special
269/285 Test #284: tqperf::coreneuron_special ............................................... Passed 2.61 sec
Start 285: tqperf::coreneuron_python
270/285 Test #285: tqperf::coreneuron_python ................................................ Passed 2.34 sec
271/285 Test #213: external_nrntest ......................................................... Passed 43.46 sec
Start 273: testcorenrn_vecevent::coreneuron_cpu_offline::preparation
272/285 Test #273: testcorenrn_vecevent::coreneuron_cpu_offline::preparation ................ Passed 0.26 sec
Start 214: reduced_dentate::neuron
273/285 Test #214: reduced_dentate::neuron .................................................. Passed 39.42 sec
Start 215: reduced_dentate::coreneuron_cpu
274/285 Test #215: reduced_dentate::coreneuron_cpu .......................................... Passed 31.61 sec
Start 225: external_ringtest::coreneuron_cpu_mpi_threads
275/285 Test #225: external_ringtest::coreneuron_cpu_mpi_threads ............................ Passed 0.94 sec
Start 226: external_ringtest::coreneuron_cpu_mpi_threads_python
276/285 Test #226: external_ringtest::coreneuron_cpu_mpi_threads_python ..................... Passed 1.01 sec
Start 269: testcorenrn_vecevent::neuron
277/285 Test #269: testcorenrn_vecevent::neuron ............................................. Passed 0.28 sec
Start 270: testcorenrn_vecevent::coreneuron_cpu_online
278/285 Test #270: testcorenrn_vecevent::coreneuron_cpu_online .............................. Passed 0.59 sec
Start 271: testcorenrn_vecevent::coreneuron_cpu_online_psolve_alternate
279/285 Test #271: testcorenrn_vecevent::coreneuron_cpu_online_psolve_alternate ............. Passed 0.64 sec
Start 272: testcorenrn_vecevent::coreneuron_cpu_offline
280/285 Test #272: testcorenrn_vecevent::coreneuron_cpu_offline ............................. Passed 0.22 sec
Start 84: parallel::subworld
281/285 Test #84: parallel::subworld ....................................................... Passed 1.18 sec
Start 111: coreneuron_modtests::test_subworlds_py_cpu
282/285 Test #111: coreneuron_modtests::test_subworlds_py_cpu ............................... Passed 1.32 sec
Start 216: reduced_dentate::compare_results
Start 227: external_ringtest::compare_results
Start 274: testcorenrn_vecevent::compare_results
283/285 Test #274: testcorenrn_vecevent::compare_results .................................... Passed 0.02 sec
284/285 Test #216: reduced_dentate::compare_results ......................................... Passed 0.02 sec
285/285 Test #227: external_ringtest::compare_results ....................................... Passed 0.02 sec
100% tests passed, 0 tests failed out of 285
Total Test time (real) = 169.34 sec
$ cmake --build . --target install
[0/2] Re-checking globbed directories...
[1/5] Update hh.mod for CoreNEURON compatibility
[2/5] Generating help_data.dat
[3/5] cd /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/src/nrnoc && /opt/homebrew/Cellar/cmake/3.28.1/bin/cmake -E env PROJECT_VERSION=9.0.0 bash /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/git2nrnversion_h.sh /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn > nrnversion.h.tmp && /opt/homebrew/Cellar/cmake/3.28.1/bin/cmake -E copy_if_different nrnversion.h.tmp nrnversion.h
fatal: No names found, cannot describe anything.
[4/5] Running utility command for hoc_module
INFO:root:setup.py called with:setup.py build --cmake-build-dir /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build --disable-rx3d --without-nrnpython --build-lib=/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python build_ext --define=NRN_ASAN_ENABLED,USE_PYTHON,NRN_ENABLE_THREADS
INFO:root:Setting SDKROOT=/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk
WARNING:root:You are building a wheel with a Python built for macOS >=12.0.0. Your wheel won't run on older versions, consider using an official Python build from python.org
WARNING:root:Setting MACOSX_DEPLOYMENT_TARGET=12.0
INFO:root:Extension common compile flags defaultdict(<class 'list'>, {'library_dirs': ['/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib'], 'libraries': ['nrniv'], 'language': 'c++'})
INFO:root:RX3D is DISABLED
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/venv/lib/python3.10/site-packages/setuptools/__init__.py:80: _DeprecatedInstaller: setuptools.installer and fetch_build_eggs are deprecated.
!!
********************************************************************************
Requirements should be satisfied by a PEP 517 installer.
If you are using pip, you can try `pip install --use-pep517`.
********************************************************************************
!!
dist.fetch_build_eggs(dist.setup_requires)
WARNING setuptools_scm.pyproject_reading toml section missing 'pyproject.toml does not contain a tool.setuptools_scm section'
/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/venv/lib/python3.10/site-packages/setuptools_scm/git.py:163: UserWarning: "/Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn" is shallow and may cause errors
warnings.warn(f'"{wd.path}" is shallow and may cause errors')
INFO:root:running build
INFO:root:running build_py
INFO:root:copying share/lib/python/neuron/hclass3.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/expect_hocerr.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/psection.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/config.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/units.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/sections.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/coreneuron.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/doc.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/gui.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/nonvint_block_supervisor.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron
INFO:root:copying share/lib/python/neuron/neuroml/metadata.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/morphml.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/xml2nrn.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/neuroml.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/rdxml.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/neuroml/biophysics.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/neuroml
INFO:root:copying share/lib/python/neuron/tests/_subclass.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_all.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_neuron.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_vector.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/test_rxd.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests
INFO:root:copying share/lib/python/neuron/tests/utils/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/strtobool.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/capture_stdout.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/tests/utils/checkresult.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/tests/utils
INFO:root:copying share/lib/python/neuron/rxd/rxdException.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/options.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/plugins.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxdsection.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/species.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxdmath.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rate.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/region.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/multiCompartmentReaction.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/constants.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/dimension3.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/section1d.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/export.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/initializer.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rangevar.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/geometry.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/rxd.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/generalizedReaction.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/nodelist.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/node.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/gui.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/rxd/reaction.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/rxd
INFO:root:copying share/lib/python/neuron/crxd/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/crxd
INFO:root:copying share/lib/python/neuron/gui2/setup_threejs.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/config.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/__init__.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/rangevar.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/plotshape.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:copying share/lib/python/neuron/gui2/utilities.py -> /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/build/lib/python/neuron/gui2
INFO:root:running build_ext
INFO:root:==> Building Python extensions
INFO:root:running build_scripts
[4/5] Install the project...
-- Install configuration: "Custom"
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/nrn-enable-sanitizer
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libfmt.a
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/args.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/chrono.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/color.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/compile.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/core.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/format.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/format-inl.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/os.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/ostream.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/printf.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/ranges.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/std.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/fmt/xchar.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/cmake/fmt/fmt-config.cmake
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/cmake/fmt/fmt-config-version.cmake
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/cmake/fmt/fmt-targets.cmake
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/cmake/fmt/fmt-targets-custom.cmake
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/pkgconfig/fmt.pc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libsymtab.a
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/librxdmath.dylib
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libnrniv.dylib
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libnrngnu.a
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libvisitor.a
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/hclass3.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/hoc.cpython-310-darwin.so
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/expect_hocerr.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/psection.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/metadata.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/morphml.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/xml2nrn.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/neuroml.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/rdxml.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/biophysics.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/config.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/units.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/sections.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdException.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/options.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/plugins.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdsection.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/species.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdmath.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/rate.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/region.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/multiCompartmentReaction.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/constants.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/dimension3.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/section1d.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/export.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/initializer.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/rangevar.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/geometry.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxd.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/generalizedReaction.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/nodelist.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/node.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/gui.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/reaction.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/_subclass.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/test_all.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/strtobool.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/capture_stdout.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/checkresult.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/test_neuron.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/test_vector.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/test_rxd.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/_config_params.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/coreneuron.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/doc.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2/setup_threejs.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2/config.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2/rangevar.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2/plotshape.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2/utilities.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/crxd
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/crxd/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/help_data.dat
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/nonvint_block_supervisor.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libspdlog.a
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libfmt.a
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/dsl.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/symtab.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext/example
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext/example/passive.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext/example/expsyn.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext/example/exp2syn.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext/example/hh.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext/viz
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext/viz/index.html
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext/viz/css
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext/viz/css/tree.css
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext/viz/js
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext/viz/js/d3.min.js
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ext/viz/js/tree.js
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/visitor.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ast.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/ode.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/nmodl/_nmodl.cpython-310-darwin.so
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libpywrapper.a
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/liblexer.a
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libsparse13.a
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libpyembed.a
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libcoreneuron-core.a
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libcodegen.a
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libutil.a
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/crout_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/cache
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/cache/mechanism_range.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/container
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/container/non_owning_soa_identifier.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/container/data_handle.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/container/generic_data_handle.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/model_data_fwd.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnrandom.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hocassrt.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/multicore.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnconf.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/wrap_sprintf.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/simeq.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/ocmisc.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/multisplit.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/oc_ansi.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hoclist.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/membfunc.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuronapi.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnmpidec.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnversionmacros.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/scoplib.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/sparse.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/membdef.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton_struct.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton/newton.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrncvode
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrncvode/nrnneosm.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/bbsavestate.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nmodlmutex.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/euler_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hocdec.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/md2redef.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hocgetsym.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/euler.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/cabvars.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/options.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnmpi.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnran123.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/CholmodSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/StdVector
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/StdDeque
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Core
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SparseLU
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/OrderingMethods
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/QtAlignedMalloc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Householder
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/PardisoSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SparseCore
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Jacobi
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Dense
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SPQRSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/QR
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Eigen
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SparseQR
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SVD
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Cholesky
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/UmfPackSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/IterativeLinearSolvers
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/LU
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Sparse
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Geometry
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SuperLUSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/StdList
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/MetisSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SparseCholesky
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Eigenvalues
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/PaStiXSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/CholmodSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/CholmodSupport/CholmodSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/blas.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/lapacke.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/Kernel.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/RealSvd2x2.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/Image.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/lapack.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/lapacke_mangling.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Reverse.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ConditionEstimator.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/PermutationMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Assign.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/MathFunctionsImpl.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseUnaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DiagonalMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/StlIterators.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/VectorBlock.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ReturnByValue.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Solve.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointRank2Update.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralBlockPanelKernel.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrixTriangular_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrix_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixVector_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/Parallelizer.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrixTriangular.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixVector.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixVector.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixVector.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixVector_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixMatrix_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixVector_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverMatrix_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixMatrix_BLAS.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverVector.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ArithmeticSequence.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Product.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/NonMPL2.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ReshapedHelper.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/BlasUtil.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/StaticAssert.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Constants.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/XprHelper.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/MKL_support.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Macros.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/DisableStupidWarnings.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/SymbolicIndex.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ForwardDeclarations.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Memory.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Meta.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ConfigureVectorization.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/IntegralConstant.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ReenableStupidWarnings.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/IndexedViewHelper.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/MatrixBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Stride.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Map.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ForceAlignedAccess.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/UnaryFunctors.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/TernaryFunctors.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/AssignmentFunctors.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/BinaryFunctors.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/StlFunctors.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/NullaryFunctors.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/SelfCwiseBinaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/BandMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Inverse.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Assign_MKL.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Swap.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ProductEvaluators.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/MapBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Matrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/EigenBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/StableNorm.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/GenericPacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseBinaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Visitor.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseStorage.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DiagonalProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseUnaryView.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/NoAlias.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseCoeffsBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/PartialReduxEvaluator.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/IndexedView.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Fuzzy.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/TriangularMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/IO.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/CUDA
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/CUDA/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/Half.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/Settings.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/BFloat16.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/GenericPacketMathFunctionsFwd.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/GenericPacketMathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/ConjHelper.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP/hcc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP/hcc/math_constants.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/SyclMemoryModel.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/InteropHeaders.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/GeneralBlockPanelKernel.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/TypeCasting.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/PacketMath.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MathFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProductMMA.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProductCommon.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/Complex.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Array.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/SolverBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/AssignEvaluator.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Replicate.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CoreIterators.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ArrayBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Block.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Dot.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/PlainObjectBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/SelfAdjointView.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/GeneralProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/SolveTriangular.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CoreEvaluators.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseNullaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/VectorwiseOp.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ArrayWrapper.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/BooleanRedux.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Transpositions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/NestByValue.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Ref.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Reshaped.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Transpose.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Redux.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/NumTraits.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CommaInitializer.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/GlobalFunctions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Random.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Diagonal.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseTernaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Select.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Utils.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_kernel_bmod.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_column_bmod.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_gemm_kernel.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_column_dfs.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_panel_dfs.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_relax_snode.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_heap_relax_snode.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_panel_bmod.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Structs.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_pruneL.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_copy_to_ucol.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Memory.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_pivotL.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLUImpl.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_SupernodalMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Ordering.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Eigen_Colamd.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Amd.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/ArrayCwiseUnaryOps.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/CommonCwiseUnaryOps.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/BlockMethods.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/ArrayCwiseBinaryOps.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/MatrixCwiseBinaryOps.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/IndexedViewMethods.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/CommonCwiseBinaryOps.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/ReshapedMethods.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/MatrixCwiseUnaryOps.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Householder
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Householder/HouseholderSequence.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Householder/Householder.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Householder/BlockHouseholder.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/PardisoSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/PardisoSupport/PardisoSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSelfAdjointView.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/CompressedStorage.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCwiseBinaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseView.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseColEtree.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMatrixBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDiagonalProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseTriangularView.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseAssign.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparsePermutation.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCwiseUnaryOp.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMap.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDenseProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseRef.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseFuzzy.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/MappedSparseMatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSparseProductWithPruning.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSolverBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseBlock.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/TriangularSolver.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseVector.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCompressedBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseTranspose.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/AmbiVector.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseRedux.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/ConservativeSparseSparseProduct.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseUtil.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDot.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Jacobi
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Jacobi/Jacobi.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SPQRSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SPQRSupport/SuiteSparseQRSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/FullPivHouseholderQR.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/HouseholderQR.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/CompleteOrthogonalDecomposition.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/ColPivHouseholderQR_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/ColPivHouseholderQR.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/HouseholderQR_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseQR
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseQR/SparseQR.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SVD
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SVD/JacobiSVD_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SVD/SVDBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SVD/JacobiSVD.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SVD/BDCSVD.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SVD/UpperBidiagonalization.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Cholesky
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LLT_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LDLT.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LLT.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/UmfPackSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/UmfPackSupport/UmfPackSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/BasicPreconditioners.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IncompleteCholesky.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/SolveWithGuess.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IncompleteLUT.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/LeastSquareConjugateGradient.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/ConjugateGradient.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/BiCGSTAB.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IterativeSolverBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/PartialPivLU_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/InverseImpl.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/Determinant.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/arch
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/arch/InverseSize4.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/PartialPivLU.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/FullPivLU.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/AngleAxis.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/RotationBase.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/EulerAngles.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Hyperplane.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Homogeneous.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/AlignedBox.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Quaternion.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Umeyama.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Translation.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Scaling.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/OrthoMethods.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Rotation2D.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/arch
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/arch/Geometry_SIMD.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Transform.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/ParametrizedLine.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SuperLUSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SuperLUSupport/SuperLUSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/MetisSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/MetisSupport/MetisSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/StlSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdList.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdDeque.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/details.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdVector.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky/SimplicialCholesky_impl.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky/SimplicialCholesky.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/GeneralizedEigenSolver.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/EigenSolver.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealSchur_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealSchur.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexSchur_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/Tridiagonalization.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/HessenbergDecomposition.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexEigenSolver.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/GeneralizedSelfAdjointEigenSolver.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/SelfAdjointEigenSolver_LAPACKE.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexSchur.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/SelfAdjointEigenSolver.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealQZ.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/MatrixBaseEigenvalues.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/PaStiXSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/PaStiXSupport/PaStiXSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/KLUSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/KLUSupport/KLUSupport.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/KLUSupport
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnapi.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrncvode.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnsemanticversion.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/spmatrix.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/ocfunc.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/section_fwd.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/row_view.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hoc_membf.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/backtrace_utils.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnisaac.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/parse_with_deps.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnredef.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/dimplic.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hoc.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/crout.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnmpiuse.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/md1redef.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrniv_mf.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/memory.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrn_ansi.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/deflate.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/errcodes.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrniv
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrniv/profiler_interface.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrniv/nrniv_decl.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/engine.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrnconf.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/gpu
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/gpu/nrn_acc_manager.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/membfunc.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/register_mech.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/mechanism.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech_mapping.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/eion.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/mod2c_core_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile/cabvars.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/core
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/core/nrnmpi.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpidec.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpi.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/lib
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/lib/nrnmpi.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpiuse.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/config
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/config/config.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/config/version_macros.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/config/neuron_version.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/coreneuron.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/multisend.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/have2want.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/netpar.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/tnode.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/netcon.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/partrans.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/tqueue.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/netcvode.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/nrn2core_direct.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/mech_report.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/core2nrn_data_return.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/prcellstate.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/nrn_setup.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/output_spikes.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/lfp.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/setup_fornetcon.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/nrn_checkpoint.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/user_params.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/nrnsection_mapping.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/nrn_filehandler.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/mem_layout_util.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/phase1.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/reports
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_event.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_handler.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/reports/sonata_report_handler.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/reports/nrnreport.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/phase2.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/vrecitem.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/utils_cuda.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/nrnmutdec.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/string_utils.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_assert.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/nrnoc_aux.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar/progressbar.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/memory_utils.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/profile
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/profile/profiler_interface.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/lpt.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/offload.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/memory.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/units.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/utils.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/randoms
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/randoms/nrnran123.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_stats.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/ivocvect.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrnoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md2redef.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md1redef.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/membrane_definitions.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/multicore.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/fast_imem.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/crout_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/errcodes.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_struct.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/ssimplic_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/sparse_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/apps
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/apps/corenrn_parameters.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/permute
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/permute/node_permute.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/permute/cellorder.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/permute/data_layout.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnassrt.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/spconfig.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/runge.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnoc_ml.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/mech_api.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/mcran4.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/ssimplic_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hocparse.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/ssimplic.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/ReinterpretCtr.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/xlcfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/pgccfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/fujitsufeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/metalfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/gccfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/iccfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/open64features.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/sunprofeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/compilerfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/clangfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/crayfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/sse.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/msvcfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/openclfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/nvccfeatures.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/threefry.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/conventional
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/conventional/Engine.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/conventional/gsl_cbrng.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/philox.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/gsl_microrng.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/aes.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/array.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/MicroURNG.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/u01fixedpt.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/boxmuller.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/uniform.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/ars.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/crout
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/crout/crout.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/sparse_thread.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/treeset.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/nmodl
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nmodl.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nmodl/nrnunits.lib
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nmodl/nrnunits.lib
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libcoreneuron-core.a
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/nrniv
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/nrniv/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/nrniv/nrniv_decl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/engine.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/nrnconf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/gpu
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/gpu/nrn_acc_manager.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mechanism
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mechanism/membfunc.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mechanism/register_mech.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mechanism/mechanism.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mechanism/eion.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech/mod2c_core_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech/cfile
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mechanism/mech/cfile/cabvars.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mpi
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mpi/core
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mpi/core/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mpi/nrnmpidec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mpi/nrnmpi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mpi/lib
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mpi/lib/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/mpi/nrnmpiuse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/config
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/config/config.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/config/version_macros.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/config/neuron_version.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/coreneuron.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/network
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/network/multisend.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/network/have2want.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/network/netpar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/network/tnode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/network/netcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/network/partrans.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/network/tqueue.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/network/netcvode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/nrn2core_direct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/mech_report.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/core2nrn_data_return.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/prcellstate.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/nrn_setup.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/output_spikes.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/lfp.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/setup_fornetcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/nrn_checkpoint.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/user_params.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/nrnsection_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/nrn_filehandler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/mem_layout_util.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/phase1.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/reports
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/reports/report_event.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/reports/report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/reports/sonata_report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/reports/nrnreport.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/io/phase2.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/vrecitem.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/utils_cuda.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/nrnmutdec.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/string_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/nrn_assert.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/nrnoc_aux.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/progressbar
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/progressbar/progressbar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/memory_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/profile
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/profile/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/lpt.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/offload.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/units.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/utils.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/randoms
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/randoms/nrnran123.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/nrn_stats.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/utils/ivocvect.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/nrnoc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/nrnoc/md2redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/nrnoc/md1redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/membrane_definitions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/sim
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/sim/multicore.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/sim/fast_imem.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/crout_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/errcodes.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/newton_struct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/newton_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/ssimplic_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/sim/scopmath/sparse_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/apps
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/apps/corenrn_parameters.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/permute
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/permute/node_permute.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/permute/cellorder.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include//coreneuron/permute/data_layout.hpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/coreneuron/mod_func.c.pl
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/coreneuron/enginemech.cpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/nmodl
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/nrniv-core
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/coreneuron/coreneuron.cpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libcorenrnmech_internal.dylib
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/crout_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/cache
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/cache/mechanism_range.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/container
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/container/non_owning_soa_identifier.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/container/data_handle.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/container/generic_data_handle.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/model_data_fwd.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnrandom.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hocassrt.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/multicore.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnconf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/wrap_sprintf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/simeq.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/ocmisc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/multisplit.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/oc_ansi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hoclist.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/membfunc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuronapi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnmpidec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnversionmacros.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/scoplib.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/sparse.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/membdef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton_struct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton/newton.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrncvode
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrncvode/nrnneosm.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/bbsavestate.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nmodlmutex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/euler_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hocdec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/md2redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hocgetsym.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/euler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/cabvars.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/options.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnmpi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnran123.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/CholmodSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/StdVector
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/StdDeque
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Core
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SparseLU
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/OrderingMethods
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/QtAlignedMalloc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Householder
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/PardisoSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SparseCore
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Jacobi
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Dense
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SPQRSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/QR
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Eigen
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SparseQR
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SVD
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Cholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/UmfPackSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/IterativeLinearSolvers
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/LU
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Sparse
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Geometry
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SuperLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/StdList
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/MetisSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SparseCholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Eigenvalues
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/PaStiXSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/CholmodSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/CholmodSupport/CholmodSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/blas.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/lapacke.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/Kernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/RealSvd2x2.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/Image.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/lapack.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/lapacke_mangling.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Reverse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ConditionEstimator.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/PermutationMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Assign.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/MathFunctionsImpl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseUnaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DiagonalMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/StlIterators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/VectorBlock.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ReturnByValue.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Solve.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointRank2Update.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralBlockPanelKernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrixTriangular_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixVector_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/Parallelizer.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrixTriangular.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixVector_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixVector_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ArithmeticSequence.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Product.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/NonMPL2.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ReshapedHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/BlasUtil.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/StaticAssert.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Constants.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/XprHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/MKL_support.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Macros.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/DisableStupidWarnings.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/SymbolicIndex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ForwardDeclarations.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Meta.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ConfigureVectorization.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/IntegralConstant.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ReenableStupidWarnings.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/IndexedViewHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/MatrixBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Stride.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Map.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ForceAlignedAccess.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/UnaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/TernaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/AssignmentFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/BinaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/StlFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/NullaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/SelfCwiseBinaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/BandMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Inverse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Assign_MKL.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Swap.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ProductEvaluators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/MapBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Matrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/EigenBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/StableNorm.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/GenericPacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseBinaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Visitor.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseStorage.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DiagonalProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseUnaryView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/NoAlias.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseCoeffsBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/PartialReduxEvaluator.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/IndexedView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Fuzzy.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/TriangularMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/IO.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/CUDA
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/CUDA/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/Half.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/Settings.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/BFloat16.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/GenericPacketMathFunctionsFwd.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/GenericPacketMathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/ConjHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP/hcc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP/hcc/math_constants.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/SyclMemoryModel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/InteropHeaders.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/GeneralBlockPanelKernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProductMMA.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProductCommon.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Array.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/SolverBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/AssignEvaluator.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Replicate.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CoreIterators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ArrayBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Block.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Dot.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/PlainObjectBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/SelfAdjointView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/GeneralProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/SolveTriangular.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CoreEvaluators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseNullaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/VectorwiseOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ArrayWrapper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/BooleanRedux.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Transpositions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/NestByValue.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Ref.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Reshaped.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Transpose.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Redux.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/NumTraits.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CommaInitializer.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/GlobalFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Random.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Diagonal.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseTernaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Select.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_kernel_bmod.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_column_bmod.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_gemm_kernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_column_dfs.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_panel_dfs.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_relax_snode.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_heap_relax_snode.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_panel_bmod.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Structs.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_pruneL.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_copy_to_ucol.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_pivotL.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLUImpl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_SupernodalMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Ordering.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Eigen_Colamd.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Amd.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/ArrayCwiseUnaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/CommonCwiseUnaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/BlockMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/ArrayCwiseBinaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/MatrixCwiseBinaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/IndexedViewMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/CommonCwiseBinaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/ReshapedMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/MatrixCwiseUnaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Householder
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Householder/HouseholderSequence.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Householder/Householder.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Householder/BlockHouseholder.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/PardisoSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/PardisoSupport/PardisoSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSelfAdjointView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/CompressedStorage.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCwiseBinaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseColEtree.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMatrixBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDiagonalProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseTriangularView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseAssign.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparsePermutation.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCwiseUnaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMap.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDenseProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseRef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseFuzzy.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/MappedSparseMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSparseProductWithPruning.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSolverBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseBlock.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/TriangularSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCompressedBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseTranspose.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/AmbiVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseRedux.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/ConservativeSparseSparseProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseUtil.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDot.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Jacobi
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Jacobi/Jacobi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SPQRSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SPQRSupport/SuiteSparseQRSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/FullPivHouseholderQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/HouseholderQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/CompleteOrthogonalDecomposition.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/ColPivHouseholderQR_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/ColPivHouseholderQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/HouseholderQR_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseQR
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseQR/SparseQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SVD
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SVD/JacobiSVD_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SVD/SVDBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SVD/JacobiSVD.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SVD/BDCSVD.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SVD/UpperBidiagonalization.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Cholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LLT_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LDLT.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Cholesky/LLT.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/UmfPackSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/UmfPackSupport/UmfPackSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/BasicPreconditioners.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IncompleteCholesky.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/SolveWithGuess.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IncompleteLUT.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/LeastSquareConjugateGradient.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/ConjugateGradient.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/BiCGSTAB.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/IterativeLinearSolvers/IterativeSolverBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/PartialPivLU_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/InverseImpl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/Determinant.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/arch
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/arch/InverseSize4.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/PartialPivLU.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/LU/FullPivLU.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/AngleAxis.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/RotationBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/EulerAngles.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Hyperplane.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Homogeneous.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/AlignedBox.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Quaternion.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Umeyama.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Translation.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Scaling.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/OrthoMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Rotation2D.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/arch
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/arch/Geometry_SIMD.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/Transform.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Geometry/ParametrizedLine.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SuperLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SuperLUSupport/SuperLUSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/MetisSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/MetisSupport/MetisSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/StlSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdList.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdDeque.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/details.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/StlSupport/StdVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky/SimplicialCholesky_impl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCholesky/SimplicialCholesky.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/GeneralizedEigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/EigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealSchur_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealSchur.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexSchur_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/Tridiagonalization.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/HessenbergDecomposition.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexEigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/GeneralizedSelfAdjointEigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/SelfAdjointEigenSolver_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/ComplexSchur.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/SelfAdjointEigenSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/RealQZ.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Eigenvalues/MatrixBaseEigenvalues.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/PaStiXSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/PaStiXSupport/PaStiXSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/KLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/KLUSupport/KLUSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/KLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnapi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrncvode.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnsemanticversion.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/spmatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/ocfunc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/section_fwd.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/row_view.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hoc_membf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/backtrace_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnisaac.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/parse_with_deps.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnredef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/dimplic.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hoc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/crout.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnmpiuse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/md1redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrniv_mf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/memory.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrn_ansi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/deflate.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/errcodes.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrniv
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrniv/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrniv/nrniv_decl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/engine.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrnconf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/gpu
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/gpu/nrn_acc_manager.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/membfunc.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/register_mech.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/mechanism.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/eion.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/mod2c_core_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mechanism/mech/cfile/cabvars.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/core
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/core/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpidec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/lib
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/lib/nrnmpi.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/mpi/nrnmpiuse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/config
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/config/config.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/config/version_macros.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/config/neuron_version.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/coreneuron.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/multisend.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/have2want.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/netpar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/tnode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/netcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/partrans.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/tqueue.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/network/netcvode.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/nrn2core_direct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/mech_report.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/core2nrn_data_return.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/prcellstate.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/nrn_setup.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/output_spikes.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/lfp.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/setup_fornetcon.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/nrn_checkpoint.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/user_params.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/nrnsection_mapping.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/nrn_filehandler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/mem_layout_util.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/phase1.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/reports
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_event.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/reports/report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/reports/sonata_report_handler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/reports/nrnreport.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/io/phase2.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/vrecitem.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/utils_cuda.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/nrnmutdec.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/string_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_assert.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/nrnoc_aux.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/progressbar/progressbar.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/memory_utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/profile
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/profile/profiler_interface.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/lpt.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/offload.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/units.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/utils.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/randoms
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/randoms/nrnran123.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/nrn_stats.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/utils/ivocvect.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrnoc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md2redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/nrnoc/md1redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/membrane_definitions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/multicore.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/fast_imem.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/crout_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/errcodes.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_struct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/newton_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/ssimplic_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/sim/scopmath/sparse_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/apps
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/apps/corenrn_parameters.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/permute
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/permute/node_permute.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/permute/cellorder.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/coreneuron/permute/data_layout.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnassrt.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/spconfig.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/runge.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnoc_ml.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/mech_api.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/mcran4.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/ssimplic_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hocparse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/ssimplic.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/ReinterpretCtr.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/xlcfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/pgccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/fujitsufeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/metalfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/gccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/iccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/open64features.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/sunprofeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/compilerfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/clangfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/crayfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/sse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/msvcfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/openclfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/features/nvccfeatures.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/threefry.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/conventional
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/conventional/Engine.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/conventional/gsl_cbrng.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/philox.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/gsl_microrng.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/aes.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/array.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/MicroURNG.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/u01fixedpt.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/boxmuller.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/uniform.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Random123/ars.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/crout
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/crout/crout.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/sparse_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/treeset.h
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/modfile
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/modfile/stim.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/modfile/passive.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/modfile/expsyn.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/modfile/svclmp.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/modfile/exp2syn.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/modfile/netstim.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/modfile/hh.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/modfile/pattern.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/coreneuron/nrnivmodl_core_makefile
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/nrnivmodl-core
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/nrniv
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/nocmodl
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/modlunit
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/libnrniv.dylib
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/nrnmain.cpp
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/nrngui
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/neurondemo
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/nrnivmodl
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/nrnmech_makefile
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/sortspike
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/mkthreadsafe
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/nrnpyenv.sh
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/bin/set_nrnpyenv.sh
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/librxdmath.dylib
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/hclass3.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/hoc.cpython-310-darwin.so
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/expect_hocerr.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/psection.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/metadata.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/morphml.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/xml2nrn.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/__init__.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/neuroml.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/rdxml.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/neuroml/biophysics.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/config.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/units.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/sections.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdException.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/options.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/plugins.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdsection.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/species.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxdmath.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/rate.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/region.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/multiCompartmentReaction.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/constants.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/dimension3.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/section1d.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/plugins.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rxdsection.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/multiCompartmentReaction.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rangevar.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rxdmath.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/reaction.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rate.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/generalizedReaction.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/initializer.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/species.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/region.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/nodelist.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rxdException.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/options.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/node.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/constants.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/rxd.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/geometry.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/dimension3.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/__pycache__/__init__.cpython-310.pyc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/section1d.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/export.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/initializer.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/rangevar.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/geometry.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/rxd.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/generalizedReaction.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/nodelist.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/node.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/gui.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/rxd/reaction.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/_subclass.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/test_all.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/__init__.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__init__.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__/capture_stdout.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__/strtobool.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__/checkresult.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/__pycache__/__init__.cpython-310.pyc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/strtobool.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/capture_stdout.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/utils/checkresult.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_neuron.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_neuron.cpython-310-pytest-8.0.0.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_rxd.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_all.cpython-310-pytest-8.0.0.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_vector.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_vector.cpython-310-pytest-8.0.0.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_rxd.cpython-310-pytest-8.0.0.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/test_all.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/__pycache__/__init__.cpython-310.pyc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/test_neuron.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/test_vector.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/tests/test_rxd.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__init__.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/_config_params.py
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__pycache__
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/gui.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/coreneuron.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/config.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/hclass3.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/units.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/nonvint_block_supervisor.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/psection.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/expect_hocerr.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/_config_params.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/doc.cpython-310.pyc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/__pycache__/__init__.cpython-310.pyc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/coreneuron.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/doc.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2/setup_threejs.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2/config.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2/__init__.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2/rangevar.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2/plotshape.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui2/utilities.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/crxd
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/crxd/__init__.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/help_data.dat
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/gui.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/nonvint_block_supervisor.py
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/lib/python/neuron/help_data.dat
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/shape.cm2
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/helpdict
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/stdgui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/loadbal.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/family.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/thresh.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/attshape.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/corenrn.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/gatherv.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/shapebox.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir/lincir1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir/elmbase.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir/linmech.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir/lingraph.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/lincir.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/grapher.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/vplay.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mknrndll.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/inserter.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/impedanx.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/impratio.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/runfit.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/showmech.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/movierun.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/parcom.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/noload.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/vecwrap.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/prcellstate.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/nrngui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/funfit.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/wingroup.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/electrod.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/gategui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/properties.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/chanbild1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/kschanbg.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/const.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/ksgate.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/ksstate.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/kstgui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/sigmoid.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/kstrans.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/table.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/exp.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild/linoid.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/inhomofn.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celmemb.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celgeom.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celbild1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celset.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celtopol.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/celmang.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/celbild/psubset.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mkcurve.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/single.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/pointgrp.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/subiter.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/single1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/atoltool.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/nrngui1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/impedanc.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/modlunit.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/artcel.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/netgui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/netdata.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/grstrlst.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/netready.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/spkplot.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/syntypes.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/netbild/edgegui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/varmeth1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/chanbild.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/secanal.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/ppanal.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/artview.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/ncview.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/rcclasses.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/realcell.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/mviewgui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/parmsets.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/mviewxml.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/allpp.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/distinct.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/parmvals.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/distinctparm.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/allcell.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/treeview.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview/mview1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/logax.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/pcchdir.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/stdrun.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/fitparm.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/mulfit1.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/eonefunc.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/eonerun.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/clampfit.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/optwrap.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/protorun.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/e_actpot.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/e_y.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/eparmlst.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/e_norm.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/e_xy.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mulfit/eoneprim.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/macload.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/netparmpi.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/pointbsr.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/binfo.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/stdlib.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_nts.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/import3d_sec.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_morphml.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_nlcda3.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_nlcda.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/import3d_gui.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/import3d/read_swc.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/pointman.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hoc/mview.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/prologue.id
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/nrn.defaults.in
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/cleanup
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/shape.cm1
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/auditscripts
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/saveaudit
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/retrieve.sh
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/hocaudit.sh
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/gettarname
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/auditscripts/notes
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/nrnunits.lib
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/hocload.sh
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/hh.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/singhh.ses
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/singhhchan.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/nomodel.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/dynchan.ses
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/pyramid.nrn
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/dynclamp.ses
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/demo.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/release
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/release/nacaex.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/release/nachan.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/release/release.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/release/camchan.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/release/capump.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/release/cachan1.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/release/invlfire.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/release/khhchan.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/release/cabpump.mod
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/release.ses
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/pyramid.ses
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/release.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/cycle.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/d3init.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/dend3.ses
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/demo.ses
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/dend3
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/dend3/control.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/dend3/syn3.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/dend3/dend3.nrn
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/sync
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/sync/init.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/sync/start.ses
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/sync/demosync.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/sync/spkplot.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/sync/cell.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/sync/synchronize.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/dynclamp.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/singhh.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/hh.ses
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/reconst.hoc
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/demo/testca.hoc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/nrnunits.lib
-- Installing: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/nrn.defaults
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/share/nrn/lib/cleanup
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/crout_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/cache
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/cache/mechanism_range.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/container
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/container/non_owning_soa_identifier.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/container/data_handle.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/container/generic_data_handle.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron/model_data_fwd.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnrandom.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hocassrt.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/multicore.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnconf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/wrap_sprintf.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/simeq.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/ocmisc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/multisplit.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/oc_ansi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hoclist.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/membfunc.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuronapi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnmpidec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnversionmacros.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/scoplib.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/sparse.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/membdef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/neuron.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton_struct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/newton/newton.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrncvode
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrncvode/nrnneosm.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/bbsavestate.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nmodlmutex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/euler_thread.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hocdec.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/md2redef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/hocgetsym.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/euler.hpp
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/cabvars.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/options.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnmpi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/nrnran123.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/CholmodSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/StdVector
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/StdDeque
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Core
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SparseLU
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/OrderingMethods
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/QtAlignedMalloc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Householder
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/PardisoSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SparseCore
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Jacobi
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Dense
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SPQRSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/QR
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Eigen
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SparseQR
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SVD
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Cholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/UmfPackSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/IterativeLinearSolvers
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/LU
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Sparse
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Geometry
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SuperLUSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/StdList
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/MetisSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/SparseCholesky
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/Eigenvalues
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/PaStiXSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/CholmodSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/CholmodSupport/CholmodSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/blas.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/lapacke.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/Kernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/RealSvd2x2.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/Image.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/lapack.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/misc/lapacke_mangling.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Reverse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ConditionEstimator.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/PermutationMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Assign.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/MathFunctionsImpl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseUnaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DiagonalMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/StlIterators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/VectorBlock.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ReturnByValue.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Solve.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointRank2Update.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralBlockPanelKernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrixTriangular_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixVector_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/Parallelizer.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixMatrixTriangular.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/GeneralMatrixVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixVector_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixVector_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixMatrix_BLAS.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularSolverVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/TriangularMatrixMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/products/SelfadjointMatrixMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ArithmeticSequence.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Product.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/NonMPL2.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ReshapedHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/BlasUtil.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/StaticAssert.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Constants.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/XprHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/MKL_support.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Macros.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/DisableStupidWarnings.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/SymbolicIndex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ForwardDeclarations.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/Meta.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ConfigureVectorization.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/IntegralConstant.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/ReenableStupidWarnings.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/util/IndexedViewHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/MatrixBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Stride.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Map.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ForceAlignedAccess.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/UnaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/TernaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/AssignmentFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/BinaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/StlFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/functors/NullaryFunctors.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/SelfCwiseBinaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/BandMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Inverse.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Assign_MKL.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Swap.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ProductEvaluators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/MapBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Matrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/EigenBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/StableNorm.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/GenericPacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseBinaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Visitor.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseStorage.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DiagonalProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseUnaryView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/NoAlias.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseCoeffsBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/PartialReduxEvaluator.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/IndexedView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Fuzzy.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/TriangularMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/IO.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SSE/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/GPU/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/MSA/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/CUDA
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/CUDA/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/ZVector/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/Half.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/Settings.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/BFloat16.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/GenericPacketMathFunctionsFwd.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/GenericPacketMathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/Default/ConjHelper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX512/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SVE/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP/hcc
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/HIP/hcc/math_constants.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AVX/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/SyclMemoryModel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/InteropHeaders.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/SYCL/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/GeneralBlockPanelKernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/TypeCasting.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/NEON/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/PacketMath.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MathFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProductMMA.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/MatrixProductCommon.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/arch/AltiVec/Complex.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Array.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/SolverBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/AssignEvaluator.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Replicate.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CoreIterators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ArrayBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Block.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Dot.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/PlainObjectBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/SelfAdjointView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/GeneralProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/SolveTriangular.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CoreEvaluators.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseNullaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/VectorwiseOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/ArrayWrapper.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/BooleanRedux.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Transpositions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/NestByValue.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Ref.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Reshaped.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Transpose.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Redux.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/NumTraits.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CommaInitializer.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/GlobalFunctions.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Random.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Diagonal.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/DenseBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/CwiseTernaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Core/Select.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Utils.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_kernel_bmod.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_column_bmod.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_gemm_kernel.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_column_dfs.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_panel_dfs.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_relax_snode.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_heap_relax_snode.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_panel_bmod.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Structs.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_pruneL.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_copy_to_ucol.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_Memory.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_pivotL.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLUImpl.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseLU/SparseLU_SupernodalMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Ordering.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Eigen_Colamd.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/OrderingMethods/Amd.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/ArrayCwiseUnaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/CommonCwiseUnaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/BlockMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/ArrayCwiseBinaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/MatrixCwiseBinaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/IndexedViewMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/CommonCwiseBinaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/ReshapedMethods.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/plugins/MatrixCwiseUnaryOps.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Householder
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Householder/HouseholderSequence.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Householder/Householder.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Householder/BlockHouseholder.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/PardisoSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/PardisoSupport/PardisoSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSelfAdjointView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/CompressedStorage.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCwiseBinaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseColEtree.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMatrixBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDiagonalProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseTriangularView.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseAssign.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparsePermutation.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCwiseUnaryOp.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseMap.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDenseProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseRef.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseFuzzy.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/MappedSparseMatrix.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSparseProductWithPruning.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseSolverBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseBlock.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/TriangularSolver.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseCompressedBase.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseTranspose.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/AmbiVector.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseRedux.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/ConservativeSparseSparseProduct.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseUtil.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SparseCore/SparseDot.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Jacobi
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/Jacobi/Jacobi.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SPQRSupport
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/SPQRSupport/SuiteSparseQRSupport.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/FullPivHouseholderQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/HouseholderQR.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/CompleteOrthogonalDecomposition.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install/include/Eigen/src/QR/ColPivHouseholderQR_LAPACKE.h
-- Up-to-date: /Users/gitlab-runner/builds/0/P189495/J1139117/hpc/cellular/nrn/install
View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment