Skip to content

Instantly share code, notes, and snippets.

Show Gist options
  • Save bedroge/31fa6679fdcae36dc5eddca8c5aa8112 to your computer and use it in GitHub Desktop.
Save bedroge/31fa6679fdcae36dc5eddca8c5aa8112 to your computer and use it in GitHub Desktop.
EasyBuild test report for easybuilders/easybuild-easyconfigs PR(s) #19444

Test report for PR(s) easybuilders/easybuild-easyconfigs#19444

Test result

Build succeeded for 1 out of 1 (1 easyconfigs in total)

Overview of tested easyconfigs (in order)

  • SUCCESS PyTorch-2.1.2-foss-2022a.eb

Time info

  • start: Sun, 24 Dec 2023 08:43:05 +0000 (UTC)
  • end: Sun, 24 Dec 2023 17:25:17 +0000 (UTC)

EasyBuild info

  • easybuild-framework version: 4.8.2
  • easybuild-easyblocks version: 4.8.2
  • command line:
eb --rpath --rebuild --upload-test-report -p -r --from-pr 19444
  • full configuration (includes defaults):
--accept-eula-for='CUDA,Intel-oneAPI'
--accept-eula=''
--allow-loaded-modules='EasyBuild'
--buildpath='/dev/shm/p251204'
--check-ebroot-env-vars='warn'
--cleanup-builddir
--cleanup-easyconfigs
--cleanup-tmpdir
--color='auto'
--container-type='singularity'
--containerpath='/home1/p251204/.local/easybuild/containers'
--cuda-compute-capabilities='7.0'
--default-opt-level='defaultopt'
--detect-loaded-modules='warn'
--disable-add-dummy-to-minimal-toolchains
--disable-add-system-to-minimal-toolchains
--disable-allow-modules-tool-mismatch
--disable-allow-use-as-root-and-accept-consequences
--disable-avail-cfgfile-constants
--disable-avail-easyconfig-constants
--disable-avail-easyconfig-licenses
--disable-avail-easyconfig-params
--disable-avail-easyconfig-templates
--disable-avail-hooks
--disable-avail-module-naming-schemes
--disable-avail-modules-tools
--disable-avail-repositories
--disable-backup-patched-files
--disable-check-conflicts
--disable-check-contrib
--disable-check-eb-deps
--disable-check-github
--disable-check-style
--disable-consider-archived-easyconfigs
--disable-container-build-image
--disable-containerize
--disable-copy-ec
--disable-debug
--disable-debug-lmod
--disable-devel
--disable-dry-run
--disable-dry-run-short
--disable-dump-autopep8
--disable-dump-env-script
--disable-enforce-checksums
--disable-experimental
--disable-extended-dry-run
--disable-fetch
--disable-fix-deprecated-easyconfigs
--disable-force
--disable-group-writable-installdir
--disable-hidden
--disable-ignore-checksums
--disable-ignore-index
--disable-ignore-locks
--disable-ignore-osdeps
--disable-ignore-test-failure
--disable-info
--disable-insecure-download
--disable-install-github-token
--disable-install-latest-eb-release
--disable-job
--disable-last-log
--disable-list-toolchains
--disable-logtostdout
--disable-minimal-toolchains
--disable-missing-modules
--disable-module-depends-on
--disable-module-only
--disable-new-branch-github
--disable-new-pr
--disable-package
--disable-parallel-extensions-install
--disable-preview-pr
--disable-quiet
--disable-read-only-installdir
--disable-recursive-module-unload
--disable-regtest
--disable-remove-ghost-install-dirs
--disable-sanity-check-only
--disable-sequential
--disable-set-default-module
--disable-set-gid-bit
--disable-show-config
--disable-show-default-configfiles
--disable-show-default-moduleclasses
--disable-show-ec
--disable-show-full-config
--disable-show-system-info
--disable-silence-hook-trigger
--disable-skip
--disable-skip-extensions
--disable-skip-test-cases
--disable-skip-test-step
--disable-sticky-bit
--disable-terse
--disable-trace
--disable-try-ignore-versionsuffixes
--disable-try-update-deps
--disable-unit-testing-mode
--disable-update-modules-tool-cache
--disable-use-existing-modules
--disable-verify-easyconfig-filenames
--download-timeout='10'
--env-for-shebang='/usr/bin/env'
--envvars-user-modules='HOME'
--extended-dry-run-ignore-errors
--filter-rpath-sanity-libs='libcuda.so,libcuda.so.1,libnvidia-ml.so,libnvidia-ml.so.1'
--fixed-installdir-naming-scheme
--from-pr='19444'
--generate-devel-module
--github-user='bedroge'
--hooks='/home1/p251204/easybuild/cit-hpc-easybuild/hooks/habrok.py'
--ignore-dirs='.git,.svn'
--include-easyblocks-from-pr=''
--include-easyblocks='/home1/p251204/easybuild/cit-hpc-easybuild/easyblocks/*/*.py'
--include-module-naming-schemes=''
--include-toolchains=''
--index-max-age='604800'
--installpath='/home1/p251204/easybuildinstall'
--job-backend='GC3Pie'
--job-eb-cmd='eb'
--job-max-jobs='0'
--job-max-walltime='24'
--job-output-dir='/home1/p251204/easybuild/cit-hpc-easybuild/jobscripts/habrok'
--job-polling-interval='30.0'
--lib-lib64-symlink
--lib64-fallback-sanity-check
--lib64-lib-symlink
--local-var-naming-check='warn'
--logfile-format='easybuild,easybuild-%(name)s-%(version)s-%(date)s.%(time)s.log'
--map-toolchains
--max-fail-ratio-adjust-permissions='0.5'
--minimal-build-env='CC:gcc,CXX:g++'
--module-extensions
--module-naming-scheme='EasyBuildMNS'
--module-syntax='Lua'
--moduleclasses='base,ai,astro,bio,cae,chem,compiler,data,debugger,devel,geo,ide,lang,lib,math,mpi,numlib,perf,quantum,phys,system,toolchain,tools,vis'
--modules-tool-version-check
--modules-tool='Lmod'
--mpi-tests
--output-format='txt'
--output-style='auto'
--package-naming-scheme='EasyBuildPNS'
--package-release='1'
--package-tool-options=''
--package-tool='fpm'
--package-type='rpm'
--packagepath='/home1/p251204/.local/easybuild/packages'
--pr-target-account='easybuilders'
--pr-target-branch='develop'
--pre-create-installdir
--pretend
--rebuild
--repository='FileRepository'
--repositorypath='/home1/p251204/.local/easybuild/ebfiles_repo'
--robot-paths='/home1/p251204/easybuild/cit-hpc-easybuild/easyconfigs:/home1/p251204/easybuild/easybuild-easyconfigs/easybuild/easyconfigs'
--robot='/home1/p251204/easybuild/cit-hpc-easybuild/easyconfigs:/home1/p251204/easybuild/easybuild-easyconfigs/easybuild/easyconfigs'
--rpath
--show-progress-bar
--silence-deprecation-warnings=''
--sourcepath='/home4/hb-software/sources'
--strict='warn'
--subdir-modules='modules'
--subdir-software='software'
--suffix-modules-path='all'
--tmpdir='/tmp/eb_install.lKLcp'
--upload-test-report
--use-ccache='False'
--use-f90cache='False'
--wait-on-lock-interval='60'
--wait-on-lock-limit='0'
--zip-logs='bzip2'

System info

  • core count: 8
  • cpu arch: x86_64
  • cpu arch name: skylake_avx512
  • cpu model: Intel(R) Xeon(R) Gold 6150 CPU @ 2.70GHz
  • cpu speed: 2693.671
  • cpu vendor: Intel
  • gcc version: Using built-in specs.; COLLECT_GCC=gcc; COLLECT_LTO_WRAPPER=/usr/libexec/gcc/x86_64-redhat-linux/8/lto-wrapper; OFFLOAD_TARGET_NAMES=nvptx-none; OFFLOAD_TARGET_DEFAULT=1; Target: x86_64-redhat-linux; Configured with: ../configure --enable-bootstrap --enable-languages=c,c++,fortran,lto --prefix=/usr --mandir=/usr/share/man --infodir=/usr/share/info --with-bugurl=https://bugs.rockylinux.org/ --enable-shared --enable-threads=posix --enable-checking=release --enable-multilib --with-system-zlib --enable-__cxa_atexit --disable-libunwind-exceptions --enable-gnu-unique-object --enable-linker-build-id --with-gcc-major-version-only --with-linker-hash-style=gnu --enable-plugin --enable-initfini-array --with-isl --disable-libmpx --enable-offload-targets=nvptx-none --without-cuda-driver --enable-gnu-indirect-function --enable-cet --with-tune=generic --with-arch_32=x86-64 --build=x86_64-redhat-linux; Thread model: posix; gcc version 8.5.0 20210514 (Red Hat 8.5.0-20) (GCC) ;
  • glibc version: 2.28
  • hostname: v100gpu26
  • os name: Rocky Linux
  • os type: Linux
  • os version: 8.9
  • platform name: x86_64-unknown-linux
  • python version: 3.6.8 (default, Oct 25 2023, 15:15:22) ; [GCC 8.5.0 20210514 (Red Hat 8.5.0-20)]
  • system gcc path: /usr/bin/gcc
  • system python path: None
  • total memory: 128400

List of loaded modules

  • 2023.01
  • StdEnv
  • EasyBuild/4.8.2

Environment

APPTAINER_APPNAME = 
APPTAINER_BIND = /etc/OpenCL/,/home1/p251204/easybuild/cit-hpc-easybuild/jobscripts/habrok,/var/log,/home4/hb-software,/apps,/var/lib/sss,/etc/nsswitch.conf,/scratch,/home4/hb-software/sources,/etc/cvmfs/default.local,/var/run/cvmfs,/var/lib/cvmfs,/tmp/eb_install.lKLcp
APPTAINER_COMMAND = shell
APPTAINER_CONTAINER = /home1/p251204/.apptainer/cache/oci-tmp/1bc47e9f0a1b0b7725ea6952daf1334d7bc2f343f3dec2168b9bef69ddb82422
APPTAINER_ENVIRONMENT = /.singularity.d/env/91-environment.sh
APPTAINER_NAME = 1bc47e9f0a1b0b7725ea6952daf1334d7bc2f343f3dec2168b9bef69ddb82422
BASH_ENV = /usr/share/lmod/lmod/init/bash
BASH_FUNC_create_container_tarball%% = () {  if [ ! -z "${OUTDIR}" ]; then
 OLDPWD=$PWD;
 TOPDIR=${MYTMPDIR}/overlay/upper/containers/versions;
 ARCHDIR=${SW_STACK_VERSION}/$(uname -m);
 if [ -d "${TOPDIR}/${ARCHDIR}" ] && [ "$(ls -A ${TOPDIR}/${ARCHDIR})" ]; then
 CONTAINER_TARBALL=${OUTDIR}/${TARBALL:-${SW_STACK_VERSION}-container-${SW_STACK_ARCH//\//-}-$(date +%s).tar.gz};
 FILES_LIST=${MYTMPDIR}/files.list.txt;
 cd ${TOPDIR};
 if [ -d ${ARCHDIR}/modules ]; then
 find ${ARCHDIR}/modules -type f > ${FILES_LIST};
 find ${ARCHDIR}/modules -type l >> ${FILES_LIST};
 fi;
 if [ -d ${ARCHDIR}/software ]; then
 find ${ARCHDIR}/software/*/* -maxdepth 1 -name easybuild -type d | xargs -r dirname >> ${FILES_LIST};
 fi;
 if [ ! -s "${FILES_LIST}" ]; then
 echo "File list for container tarball is empty, not creating a tarball.";
 else
 echo "Creating container tarball ${CONTAINER_TARBALL} from ${TOPDIR}...";
 cd $OLDPWD;
 tar --exclude=.cvmfscatalog --exclude=*.wh.* -C ${TOPDIR} -czf ${CONTAINER_TARBALL} --files-from=${FILES_LIST};
 echo "${CONTAINER_TARBALL} created!";
 fi;
 else
 echo "Looks like no software has been installed as container, so not creating a tarball.";
 fi;
 else
 echo 'No tarball output directory specified, hence no container tarball will be created.';
 fi
}
BASH_FUNC_create_software_tarball%% = () {  if [ ! -z "${OUTDIR}" ]; then
 OLDPWD=$PWD;
 TOPDIR=${MYTMPDIR}/overlay/upper/versions;
 if [ -z "${SW_GENERIC}" ]; then
 ARCHDIR=${SW_STACK_VERSION}/${SW_STACK_OS}/${SW_STACK_ARCH};
 else
 ARCHDIR=${SW_STACK_VERSION}/${SW_STACK_OS}/$(uname -m)/generic;
 fi;
 if [ -d "${TOPDIR}/${ARCHDIR}" ] && [ "$(ls -A ${TOPDIR}/${ARCHDIR})" ]; then
 SW_TARBALL=${OUTDIR}/${TARBALL:-${SW_STACK_VERSION}-${SW_STACK_ARCH//\//-}-$(date +%s).tar.gz};
 FILES_LIST=${MYTMPDIR}/files.list.txt;
 cd ${TOPDIR};
 if [ -d ${ARCHDIR}/modules ]; then
 find ${ARCHDIR}/modules -type f > ${FILES_LIST};
 find ${ARCHDIR}/modules -type l >> ${FILES_LIST};
 fi;
 if [ -d ${ARCHDIR}/software ]; then
 find ${ARCHDIR}/software/*/* -maxdepth 1 -name easybuild -type d | xargs -r dirname >> ${FILES_LIST};
 fi;
 if [ ! -s "${FILES_LIST}" ]; then
 echo "File list for software tarball is empty, not creating a tarball.";
 else
 echo "Creating software tarball ${SW_TARBALL} from ${TOPDIR}...";
 cd $OLDPWD;
 tar --exclude=.cvmfscatalog --exclude=*.wh.* -C ${TOPDIR} -czf ${SW_TARBALL} --files-from=${FILES_LIST};
 echo "${SW_TARBALL} created!";
 fi;
 else
 echo "Looks like no software has been installed, so not creating a software tarball.";
 fi;
 else
 echo 'No tarball output directory specified, hence no software tarball will be created.';
 fi
}
BASH_FUNC_create_tarballs%% = () {  create_software_tarball;
 create_container_tarball
}
BASH_FUNC_ml%% = () {  eval "$($LMOD_DIR/ml_cmd "$@")"
}
BASH_FUNC_module%% = () {  if [ -z "${LMOD_SH_DBG_ON+x}" ]; then
 case "$-" in 
 *v*x*)
 __lmod_sh_dbg='vx'
 ;;
 *v*)
 __lmod_sh_dbg='v'
 ;;
 *x*)
 __lmod_sh_dbg='x'
 ;;
 esac;
 fi;
 if [ -n "${__lmod_sh_dbg:-}" ]; then
 set +$__lmod_sh_dbg;
 echo "Shell debugging temporarily silenced: export LMOD_SH_DBG_ON=1 for Lmod's output" 1>&2;
 fi;
 eval "$($LMOD_CMD shell "$@")" && eval "$(${LMOD_SETTARG_CMD:-:} -s sh)";
 __lmod_my_status=$?;
 if [ -n "${__lmod_sh_dbg:-}" ]; then
 echo "Shell debugging restarted" 1>&2;
 set -$__lmod_sh_dbg;
 fi;
 unset __lmod_sh_dbg;
 return $__lmod_my_status
}
BASH_FUNC_which%% = () {  ( alias;
 eval ${which_declare} ) | /usr/bin/which --tty-only --read-alias --read-functions --show-tilde --show-dot $@
}
CMAKE_PREFIX_PATH = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/software/EasyBuild/4.8.2
COMMAND = eb --rpath --rebuild --upload-test-report -p -r --from-pr 19444
CUDA_VISIBLE_DEVICES = 0
DEBUGINFOD_URLS = https://debuginfod.centos.org/ 
EASYBUILD_ACCEPT_EULA_FOR = CUDA,Intel-oneAPI
EASYBUILD_BUILDPATH = /dev/shm/p251204
EASYBUILD_CUDA_COMPUTE_CAPABILITIES = 7.0
EASYBUILD_GITHUB_USER = bedroge
EASYBUILD_HOOKS = /home1/p251204/easybuild/cit-hpc-easybuild/hooks/habrok.py
EASYBUILD_INCLUDE_EASYBLOCKS = /home1/p251204/easybuild/cit-hpc-easybuild/easyblocks/*/*.py
EASYBUILD_INSTALLPATH = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512
EASYBUILD_MODULE_EXTENSIONS = 1
EASYBUILD_ROBOT_PATHS = /home1/p251204/easybuild/cit-hpc-easybuild/easyconfigs:/home1/p251204/easybuild/easybuild-easyconfigs/easybuild/easyconfigs
EASYBUILD_RPATH = 1
EASYBUILD_SOURCEPATH = /home4/hb-software/sources
EASYBUILD_TMPDIR = /tmp/eb_install.lKLcp
EASYBUILD_ZIP_LOGS = bzip2
EBDEVELEASYBUILD = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/software/EasyBuild/4.8.2/easybuild/EasyBuild-4.8.2-easybuild-devel
EBROOTEASYBUILD = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/software/EasyBuild/4.8.2
EBVERSIONEASYBUILD = 4.8.2
EB_HABROK_CONTAINER_PATH = /cvmfs/hpc.rug.nl/containers/versions/2023.01/x86_64
EB_INSTALLPYTHON = /usr/bin/python3
EB_SCRIPT_PATH = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/software/EasyBuild/4.8.2/bin/eb
ENVIRONMENT = BATCH
FANCYLOGGER_IGNORE_MPI4PY = 1
GPU_DEVICE_ORDINAL = 0
HISTCONTROL = ignoredups
HISTSIZE = 1000
HOME = /home1/p251204
HOSTNAME = v100gpu26
HYDRA_LAUNCHER_EXTRA_ARGS = --external-launcher
I_MPI_HYDRA_BOOTSTRAP_EXEC_EXTRA_ARGS = --external-launcher
LANG = en_US.UTF-8
LC_ALL = C.UTF-8
LD_LIBRARY_PATH = /.singularity.d/libs
LESSOPEN = ||/usr/bin/lesspipe.sh %s
LMOD_CMD = /usr/share/lmod/lmod/libexec/lmod
LMOD_DIR = /usr/share/lmod/lmod/libexec
LMOD_PKG = /usr/share/lmod/lmod
LMOD_RC = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/.lmod/lmodrc.lua:/apps/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/.lmod/lmodrc.lua
LMOD_ROOT = /usr/share/lmod
LMOD_SETTARG_FULL_SUPPORT = no
LMOD_SYSTEM_DEFAULT_MODULES = StdEnv
LMOD_VERSION = 8.7.32
LMOD_sys = Linux
LOADEDMODULES = 2023.01:StdEnv:EasyBuild/4.8.2
LOGNAME = p251204
MAIL = /var/spool/mail/p251204
MANPATH = /usr/share/lmod/lmod/share/man:
MODULEPATH = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/ai:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/astro:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/bio:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/cae:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/chem:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/compiler:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/data:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/debugger:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/devel:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/geo:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/ide:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/lang:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/lib:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/math:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/mpi:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/numlib:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/perf:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/phys:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/quantum:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/system:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/toolchain:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/tools:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/vis:/apps/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/all:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/all:/cvmfs/hpc.rug.nl/versions/modules:/usr/share/modulefiles/Linux:/usr/share/modulefiles/Core:/usr/share/lmod/lmod/modulefiles/Core
MODULEPATH_ROOT = /usr/share/modulefiles
MODULESHOME = /usr/share/lmod/lmod
MYTMPDIR = /tmp/eb_install.lKLcp
OMPI_MCA_plm_slurm_args = --external-launcher
PATH = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/software/EasyBuild/4.8.2/bin:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin
PROMPT_COMMAND = PS1="Apptainer> "; unset PROMPT_COMMAND
PRTE_MCA_plm_slurm_args = --external-launcher
PWD = /home1/p251204/easybuild/cit-hpc-easybuild/jobscripts/habrok
PYTHONOPTIMIZE = 1
PYTHONPATH = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/software/EasyBuild/4.8.2/lib/python3.6/site-packages
PYTHONPYCACHEPREFIX = /tmp/eb_install.lKLcp/pycache
ROCR_VISIBLE_DEVICES = 0
SBATCH_EXPORT = NONE
SHELL = /bin/bash
SHLVL = 4
SINGULARITY_BIND = /etc/OpenCL/,/home1/p251204/easybuild/cit-hpc-easybuild/jobscripts/habrok,/var/log,/home4/hb-software,/apps,/var/lib/sss,/etc/nsswitch.conf,/scratch,/home4/hb-software/sources,/etc/cvmfs/default.local,/var/run/cvmfs,/var/lib/cvmfs,/tmp/eb_install.lKLcp
SINGULARITY_CONTAINER = /home1/p251204/.apptainer/cache/oci-tmp/1bc47e9f0a1b0b7725ea6952daf1334d7bc2f343f3dec2168b9bef69ddb82422
SINGULARITY_ENVIRONMENT = /.singularity.d/env/91-environment.sh
SINGULARITY_NAME = 1bc47e9f0a1b0b7725ea6952daf1334d7bc2f343f3dec2168b9bef69ddb82422
SLURMD_NODENAME = v100gpu26
SLURM_CLUSTER_NAME = (null)
SLURM_CONF = /var/spool/slurmd/conf-cache/slurm.conf
SLURM_CPUS_ON_NODE = 8
SLURM_CPUS_PER_TASK = 8
SLURM_EXPORT_ENV = ALL
SLURM_GET_USER_ENV = 1
SLURM_GPUS_ON_NODE = 1
SLURM_GPUS_PER_NODE = v100:1
SLURM_GTIDS = 0
SLURM_JOBID = 6620679
SLURM_JOB_ACCOUNT = users
SLURM_JOB_CPUS_PER_NODE = 8
SLURM_JOB_END_TIME = 1703450556
SLURM_JOB_GID = 10251204
SLURM_JOB_GPUS = 0
SLURM_JOB_ID = 6620679
SLURM_JOB_NAME = pytorch.sh
SLURM_JOB_NODELIST = v100gpu26
SLURM_JOB_NUM_NODES = 1
SLURM_JOB_PARTITION = gpumedium
SLURM_JOB_QOS = normal
SLURM_JOB_START_TIME = 1703407356
SLURM_JOB_UID = 10251204
SLURM_JOB_USER = p251204
SLURM_LOCALID = 0
SLURM_MEM_PER_NODE = 122880
SLURM_NNODES = 1
SLURM_NODEID = 0
SLURM_NODELIST = v100gpu26
SLURM_NPROCS = 1
SLURM_NTASKS = 1
SLURM_PRIO_PROCESS = 0
SLURM_PROCID = 0
SLURM_SCRIPT_CONTEXT = prolog_task
SLURM_SUBMIT_DIR = /home1/p251204/easybuild/cit-hpc-easybuild/jobscripts/habrok
SLURM_SUBMIT_HOST = gpu1
SLURM_TASKS_PER_NODE = 1
SLURM_TASK_PID = 1819815
SLURM_TOPOLOGY_ADDR = gpu_v100_esx.v100gpu26
SLURM_TOPOLOGY_ADDR_PATTERN = switch.node
SLURM_TRES_PER_TASK = cpu:8
STACKS_DIR = /data/p251204/per/stacks
SW_BUILD_HOST_HAS_GPU = 1
SW_STACK_ARCH = x86_64/intel/skylake_avx512
SW_STACK_OS = rocky8
SW_STACK_REPO = hpc.rug.nl
SW_STACK_VERSION = 2023.01
TMPDIR = /tmp/eb_install.lKLcp
USER = p251204
USER_PATH = /home1/p251204/bin:/usr/local/bin:/usr/bin:/usr/local/sbin:/usr/sbin:/bin:/usr/bin:/sbin:/usr/sbin:/usr/local/bin:/usr/local/sbin
VGL_DISPLAY = /dev/dri/card0
ZE_AFFINITY_MASK = 0
_ = /usr/bin/python3
_LMFILES_ = /cvmfs/hpc.rug.nl/versions/modules/2023.01.lua:/cvmfs/hpc.rug.nl/versions/modules/StdEnv.lua:/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/tools/EasyBuild/4.8.2.lua
_ModuleTable001_ = X01vZHVsZVRhYmxlXyA9IHsKTVR2ZXJzaW9uID0gMywKY19yZWJ1aWxkVGltZSA9IGZhbHNlLApjX3Nob3J0VGltZSA9IGZhbHNlLApkZXB0aFQgPSB7fSwKZmFtaWx5ID0ge30sCm1UID0gewpbIjIwMjMuMDEiXSA9IHsKZm4gPSAiL2N2bWZzL2hwYy5ydWcubmwvdmVyc2lvbnMvbW9kdWxlcy8yMDIzLjAxLmx1YSIsCmZ1bGxOYW1lID0gIjIwMjMuMDEiLApsb2FkT3JkZXIgPSAxLApwcm9wVCA9IHsKbG1vZCA9IHsKc3RpY2t5ID0gMSwKfSwKfSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICIyMDIzLjAxIiwKd1YgPSAiTS4qemZpbmFsIiwKfSwKRWFzeUJ1aWxkID0gewpmbiA9ICIvY3ZtZnMvaHBjLnJ1Zy5ubC92ZXJzaW9ucy8yMDIz
_ModuleTable002_ = LjAxL3JvY2t5OC94ODZfNjQvaW50ZWwvc2t5bGFrZV9hdng1MTIvbW9kdWxlcy90b29scy9FYXN5QnVpbGQvNC44LjIubHVhIiwKZnVsbE5hbWUgPSAiRWFzeUJ1aWxkLzQuOC4yIiwKbG9hZE9yZGVyID0gMywKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDAsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJFYXN5QnVpbGQiLAp3ViA9ICIwMDAwMDAwMDQuMDAwMDAwMDA4LjAwMDAwMDAwMi4qemZpbmFsIiwKfSwKU3RkRW52ID0gewpmbiA9ICIvY3ZtZnMvaHBjLnJ1Zy5ubC92ZXJzaW9ucy9tb2R1bGVzL1N0ZEVudi5sdWEiLApmdWxsTmFtZSA9ICJTdGRFbnYiLApsb2FkT3JkZXIgPSAyLApwcm9wVCA9IHsKbG1vZCA9IHsKc3RpY2t5ID0gMSwKfSwKfSwKc3RhY2tE
_ModuleTable003_ = ZXB0aCA9IDAsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJTdGRFbnYiLAp3ViA9ICJNLip6ZmluYWwiLAp9LAp9LAptcGF0aEEgPSB7CgoiL2N2bWZzL2hwYy5ydWcubmwvdmVyc2lvbnMvMjAyMy4wMS9yb2NreTgveDg2XzY0L2ludGVsL3NreWxha2VfYXZ4NTEyL21vZHVsZXMvYWkiCiwgIi9jdm1mcy9ocGMucnVnLm5sL3ZlcnNpb25zLzIwMjMuMDEvcm9ja3k4L3g4Nl82NC9pbnRlbC9za3lsYWtlX2F2eDUxMi9tb2R1bGVzL2FzdHJvIgosICIvY3ZtZnMvaHBjLnJ1Zy5ubC92ZXJzaW9ucy8yMDIzLjAxL3JvY2t5OC94ODZfNjQvaW50ZWwvc2t5bGFrZV9hdng1MTIvbW9kdWxlcy9iaW8iCiwgIi9jdm1mcy9ocGMucnVnLm5sL3ZlcnNpb25zLzIwMjMuMDEvcm9j
_ModuleTable004_ = a3k4L3g4Nl82NC9pbnRlbC9za3lsYWtlX2F2eDUxMi9tb2R1bGVzL2NhZSIKLCAiL2N2bWZzL2hwYy5ydWcubmwvdmVyc2lvbnMvMjAyMy4wMS9yb2NreTgveDg2XzY0L2ludGVsL3NreWxha2VfYXZ4NTEyL21vZHVsZXMvY2hlbSIKLCAiL2N2bWZzL2hwYy5ydWcubmwvdmVyc2lvbnMvMjAyMy4wMS9yb2NreTgveDg2XzY0L2ludGVsL3NreWxha2VfYXZ4NTEyL21vZHVsZXMvY29tcGlsZXIiCiwgIi9jdm1mcy9ocGMucnVnLm5sL3ZlcnNpb25zLzIwMjMuMDEvcm9ja3k4L3g4Nl82NC9pbnRlbC9za3lsYWtlX2F2eDUxMi9tb2R1bGVzL2RhdGEiCiwgIi9jdm1mcy9ocGMucnVnLm5sL3ZlcnNpb25zLzIwMjMuMDEvcm9ja3k4L3g4Nl82NC9pbnRlbC9za3lsYWtlX2F2eDUxMi9t
_ModuleTable005_ = b2R1bGVzL2RlYnVnZ2VyIgosICIvY3ZtZnMvaHBjLnJ1Zy5ubC92ZXJzaW9ucy8yMDIzLjAxL3JvY2t5OC94ODZfNjQvaW50ZWwvc2t5bGFrZV9hdng1MTIvbW9kdWxlcy9kZXZlbCIKLCAiL2N2bWZzL2hwYy5ydWcubmwvdmVyc2lvbnMvMjAyMy4wMS9yb2NreTgveDg2XzY0L2ludGVsL3NreWxha2VfYXZ4NTEyL21vZHVsZXMvZ2VvIgosICIvY3ZtZnMvaHBjLnJ1Zy5ubC92ZXJzaW9ucy8yMDIzLjAxL3JvY2t5OC94ODZfNjQvaW50ZWwvc2t5bGFrZV9hdng1MTIvbW9kdWxlcy9pZGUiCiwgIi9jdm1mcy9ocGMucnVnLm5sL3ZlcnNpb25zLzIwMjMuMDEvcm9ja3k4L3g4Nl82NC9pbnRlbC9za3lsYWtlX2F2eDUxMi9tb2R1bGVzL2xhbmciCiwgIi9jdm1mcy9ocGMucnVnLm5s
_ModuleTable006_ = L3ZlcnNpb25zLzIwMjMuMDEvcm9ja3k4L3g4Nl82NC9pbnRlbC9za3lsYWtlX2F2eDUxMi9tb2R1bGVzL2xpYiIKLCAiL2N2bWZzL2hwYy5ydWcubmwvdmVyc2lvbnMvMjAyMy4wMS9yb2NreTgveDg2XzY0L2ludGVsL3NreWxha2VfYXZ4NTEyL21vZHVsZXMvbWF0aCIKLCAiL2N2bWZzL2hwYy5ydWcubmwvdmVyc2lvbnMvMjAyMy4wMS9yb2NreTgveDg2XzY0L2ludGVsL3NreWxha2VfYXZ4NTEyL21vZHVsZXMvbXBpIgosICIvY3ZtZnMvaHBjLnJ1Zy5ubC92ZXJzaW9ucy8yMDIzLjAxL3JvY2t5OC94ODZfNjQvaW50ZWwvc2t5bGFrZV9hdng1MTIvbW9kdWxlcy9udW1saWIiCiwgIi9jdm1mcy9ocGMucnVnLm5sL3ZlcnNpb25zLzIwMjMuMDEvcm9ja3k4L3g4Nl82NC9pbnRl
_ModuleTable007_ = bC9za3lsYWtlX2F2eDUxMi9tb2R1bGVzL3BlcmYiCiwgIi9jdm1mcy9ocGMucnVnLm5sL3ZlcnNpb25zLzIwMjMuMDEvcm9ja3k4L3g4Nl82NC9pbnRlbC9za3lsYWtlX2F2eDUxMi9tb2R1bGVzL3BoeXMiCiwgIi9jdm1mcy9ocGMucnVnLm5sL3ZlcnNpb25zLzIwMjMuMDEvcm9ja3k4L3g4Nl82NC9pbnRlbC9za3lsYWtlX2F2eDUxMi9tb2R1bGVzL3F1YW50dW0iCiwgIi9jdm1mcy9ocGMucnVnLm5sL3ZlcnNpb25zLzIwMjMuMDEvcm9ja3k4L3g4Nl82NC9pbnRlbC9za3lsYWtlX2F2eDUxMi9tb2R1bGVzL3N5c3RlbSIKLCAiL2N2bWZzL2hwYy5ydWcubmwvdmVyc2lvbnMvMjAyMy4wMS9yb2NreTgveDg2XzY0L2ludGVsL3NreWxha2VfYXZ4NTEyL21vZHVsZXMvdG9vbGNo
_ModuleTable008_ = YWluIgosICIvY3ZtZnMvaHBjLnJ1Zy5ubC92ZXJzaW9ucy8yMDIzLjAxL3JvY2t5OC94ODZfNjQvaW50ZWwvc2t5bGFrZV9hdng1MTIvbW9kdWxlcy90b29scyIKLCAiL2N2bWZzL2hwYy5ydWcubmwvdmVyc2lvbnMvMjAyMy4wMS9yb2NreTgveDg2XzY0L2ludGVsL3NreWxha2VfYXZ4NTEyL21vZHVsZXMvdmlzIgosICIvYXBwcy92ZXJzaW9ucy8yMDIzLjAxL3JvY2t5OC94ODZfNjQvaW50ZWwvc2t5bGFrZV9hdng1MTIvbW9kdWxlcy9hbGwiCiwgIi9jdm1mcy9ocGMucnVnLm5sL3ZlcnNpb25zLzIwMjMuMDEvcm9ja3k4L3g4Nl82NC9pbnRlbC9za3lsYWtlX2F2eDUxMi9tb2R1bGVzL2FsbCIKLCAiL2N2bWZzL2hwYy5ydWcubmwvdmVyc2lvbnMvbW9kdWxlcyIsICIvdXNy
_ModuleTable009_ = L3NoYXJlL21vZHVsZWZpbGVzL0xpbnV4IgosICIvdXNyL3NoYXJlL21vZHVsZWZpbGVzL0NvcmUiLCAiL3Vzci9zaGFyZS9sbW9kL2xtb2QvbW9kdWxlZmlsZXMvQ29yZSIsCn0sCnN5c3RlbUJhc2VNUEFUSCA9ICIvY3ZtZnMvaHBjLnJ1Zy5ubC92ZXJzaW9ucy9tb2R1bGVzOi91c3Ivc2hhcmUvbW9kdWxlZmlsZXMvTGludXg6L3Vzci9zaGFyZS9tb2R1bGVmaWxlcy9Db3JlOi91c3Ivc2hhcmUvbG1vZC9sbW9kL21vZHVsZWZpbGVzL0NvcmUiLAp9Cg==
_ModuleTable_Sz_ = 9
__Init_Default_Modules = 1
__LMOD_REF_COUNT_CMAKE_PREFIX_PATH = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/software/EasyBuild/4.8.2:1
__LMOD_REF_COUNT_LMOD_RC = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/.lmod/lmodrc.lua:1;/apps/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/.lmod/lmodrc.lua:1
__LMOD_REF_COUNT_MODULEPATH = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/ai:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/astro:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/bio:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/cae:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/chem:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/compiler:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/data:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/debugger:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/devel:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/geo:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/ide:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/lang:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/lib:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/math:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/mpi:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/numlib:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/perf:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/phys:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/quantum:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/system:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/toolchain:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/tools:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/vis:1;/apps/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/all:1;/cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/modules/all:1;/cvmfs/hpc.rug.nl/versions/modules:1;/usr/share/modulefiles/Linux:1;/usr/share/modulefiles/Core:1;/usr/share/lmod/lmod/modulefiles/Core:1
__LMOD_REF_COUNT_PATH = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/software/EasyBuild/4.8.2/bin:1;/usr/local/sbin:1;/usr/local/bin:1;/usr/sbin:1;/usr/bin:1;/sbin:1;/bin:1
__LMOD_REF_COUNT_PYTHONPATH = /cvmfs/hpc.rug.nl/versions/2023.01/rocky8/x86_64/intel/skylake_avx512/software/EasyBuild/4.8.2/lib/python3.6/site-packages:1
which_declare = declare -f
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment