Skip to content

Instantly share code, notes, and snippets.

View brightcloudy's full-sized avatar

Ryan Karl brightcloudy

View GitHub Profile
`timescale 1ns / 1ps
module control(
input clk,
input [15:0] instrin, // data in from prog ram port a
output wire [7:0] pc, // output addr to prog ram port a
input [7:0] datain, // data in from data ram port a
output wire [7:0] b, // output addr to data ram a
output reg [7:0] dataout, // output data to data ram a
output reg wr, // write enable to data ram a
`timescale 1ns / 1ps
module control(
input clk,
input [15:0] instrin, // data in from prog ram port a
output wire [7:0] pco, // output addr to prog ram port a
input [7:0] datain, // data in from data ram port a
output wire [7:0] bo, // output addr to data ram a
output reg [7:0] dataout, // output data to data ram a
output reg wr, // write enable to data ram a
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 20:26:43 01/22/2015
// Design Name:
// Module Name: alu
// Project Name:
// Target Devices:
[brightcloud@clearskies ~]$ sudo rpm -i Downloads/diamond_3_4-base_x64-80-x86_64-linux.rpm
error: Failed dependencies:
libexpat.so.0()(64bit) is needed by diamond_3_4-base_x64-3.4-80.x86_64
libtiff.so.3()(64bit) is needed by diamond_3_4-base_x64-3.4-80.x86_64
`timescale 1ns / 1ps
module cpu(
input mainclk,
input [15:0] progdata,
output reg [15:0] progaddr,
output wire [15:0] a
);
parameter [15:0] INITIAL_PC = 16'd0;
`timescale 1ns / 1ps
module cpu(
input mainclk,
input [31:0] progdata,
output wire [31:0] progaddr,
output wire [31:0] a
);
reg [4:0] rdaccess; // register file copy 1 port a addr
menuentry 'THREADIRQS Fedora, with Linux 3.16.6-200.fc20.x86_64' --class fedora --class gnu-linux --class gnu --class os {
load_video
set gfxpayload=keep
insmod gzio
insmod part_gpt
insmod ext2
set root='hd0,gpt2'
if [ x$feature_platform_search_hint = xy ]; then
search --no-floppy --fs-uuid --set=root --hint-bios=hd0,gpt2 --hint-efi=hd0,gpt2 --hint-baremetal=ahci0,gpt2 7de0b510-1c83-4ec0-b198-bef7bb9ea563
else
#!/usr/bin/env ruby
require 'trollop'
require 'droplet_kit'
require 'yaml'
opts = Trollop::options do
opt :name, "Droplet name", :type => :string
opt :config, "Configuration file", :default => String::new(".dgconfig")
end
Trollop::die :name, "must exist" if opts[:name].nil?
#include "includes.h"
#include "utiltimers.h"
#include "utilinit.h"
#include <stdint.h>
#include <adc14.h>
#include <stdio.h>
#include <string.h>
#include <stdbool.h>
static volatile uint16_t value;
#include <stdio.h>
#include <stdlib.h>
#include "stm32f30x.h"
#include "stm32f30x_dac.h"
#include "stm32f30x_gpio.h"
#include "stm32f30x_rcc.h"
#include "diag/Trace.h"
#pragma GCC diagnostic push
#pragma GCC diagnostic ignored "-Wunused-parameter"