This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/python | |
# dailyprogrammer challenge #186 Bag Inventory | |
# Eric Smith <spacewar@gmail.com> 2014-10-31 | |
import numpy as np, matplotlib.colors as mc, matplotlib.pyplot as plt | |
candy = {} | |
with open('186_easy.txt') as f: | |
for line in f.readlines(): | |
line = line.strip() | |
if line in candy: | |
candy[line] += 1 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
// Test misaligned reads and writes spanning cache line boundaries | |
// 2014-12-05 Eric Smith <spacewar@gmail.com> | |
// This program demonstrates that on an AMD FX-8350, and presumably | |
// other x86_64 processors, misaligned 64-bit reads and/or writes | |
// which span a cache line boundary are not atomic. For a | |
// "simultaneous" write and read of a misaligned value, the read may | |
// return a value that is partially the pre-write value, and partially | |
// the written value. |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
-- Floppy disk read data pulse catcher | |
-- Eric Smith <spacewar@gmail.com> | |
-- 2016-08-02 | |
-- WARNING: untested | |
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
entity floppy_rd_pulse_catcher is |
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
memory_initialization_radix = 16; | |
memory_initialization_vector = | |
80, | |
68, | |
00, | |
0a, | |
00, | |
00, | |
00, | |
00, |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Name CLOCKSEL; | |
PartNo U11; | |
Revision 01; | |
Date 11/27/2015; | |
Designer Eric Smith; | |
Company none; | |
Assembly FDC; | |
Location U11; | |
Device v750c; /* ATF750CL */ |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/env python3 | |
# | |
# Copyright (c) 2021 Eric Smith | |
# SPDX-License-Identifier: MIT | |
# | |
# Permission is hereby granted, free of charge, to any person obtaining | |
# a copy of this software and associated documentation files (the | |
# "Software"), to deal in the Software without restriction, including | |
# without limitation the rights to use, copy, modify, merge, publish, | |
# distribute, sublicense, and/or sell copies of the Software, and to |