Skip to content

Instantly share code, notes, and snippets.

@captain-amygdala
Last active April 11, 2023 20:57
Show Gist options
  • Save captain-amygdala/3363982b0a490890fb725c528a119bb5 to your computer and use it in GitHub Desktop.
Save captain-amygdala/3363982b0a490890fb725c528a119bb5 to your computer and use it in GitHub Desktop.
Lattice LPF Pinout for the Mooncell C120 LED receiver module
#### Claude Schwarz 26.03.2023
#### Mooncell C120
####
#### SDRAM DQM lines and CE are hardwired to ground, CKE is hardwired to VCC
#### PHY Clock is 125MHz, on the PHY0 and PHY1 RXCLK inputs
#### 25MHz Clock on CLK25
#### MDIO and RESET is shared between both PHYs
#### FLASH Clock, if needed in user logic, needs to be enabled trough USRMCLK
#### The INPUT_KEY_RC Pin is filtered with a RC combination
#### The GPIO Connectors are named after their pins on the connector.
LOCATE COMP "PHY_MDC" SITE "B1"; # PL2A
LOCATE COMP "JH1[27]" SITE "B2"; # PL2B
LOCATE COMP "JH1[78]" SITE "C3"; # PL2C
LOCATE COMP "JH1[74]" SITE "D3"; # PL2D
LOCATE COMP "PHY_RES" SITE "C1"; # PL5A
LOCATE COMP "JH1[70]" SITE "E3"; # PL5C
LOCATE COMP "PHY_MDIO" SITE "C2"; # PL5B
LOCATE COMP "JH1[64]" SITE "F3"; # PL5D
LOCATE COMP "PHY1_GTXCLK" SITE "D1"; # PL8A
LOCATE COMP "JH1[66]" SITE "F4"; # PL8C
LOCATE COMP "PHY1_TXD[0]" SITE "E2"; # PL8B
LOCATE COMP "JH1[62]" SITE "F5"; # PL8D
LOCATE COMP "JH1[50]" SITE "G5"; # PL11A
LOCATE COMP "PHY1_TXD[2]" SITE "F2"; # PL11C
LOCATE COMP "JH1[60]" SITE "G4"; # PL11B
LOCATE COMP "PHY1_TXD[1]" SITE "E1"; # PL11D
LOCATE COMP "PHY1_TXD[3]" SITE "F1"; # PL14A
LOCATE COMP "JH1[58]" SITE "G3"; # PL14C
LOCATE COMP "PHY1_TXEN" SITE "G2"; # PL14B
LOCATE COMP "JH1[56]" SITE "H3"; # PL14D
LOCATE COMP "JH2[61]" SITE "H5"; # PL17A
LOCATE COMP "JH2[63]" SITE "J4"; # PL17C
LOCATE COMP "JH1[48]" SITE "H4"; # PL17B
LOCATE COMP "JH2[67]" SITE "J5"; # PL17D
LOCATE COMP "PHY1_RXD[0]" SITE "G1"; # PL20A
LOCATE COMP "JH2[59]" SITE "J3"; # PL20C
LOCATE COMP "PHY1_RXD[1]" SITE "H2"; # PL20B
LOCATE COMP "PHY1_RXD[3]" SITE "K3"; # PL20D
LOCATE COMP "PHY1_RXCLK" SITE "J1"; # PL23A PCLKT7_1
LOCATE COMP "CLK25" SITE "K1"; # PL23C PCLKT7_0
LOCATE COMP "PHY1_RXD[2]" SITE "J2"; # PL23B
LOCATE COMP "PHY1_RXDV" SITE "K2"; # PL23D
LOCATE COMP "PHY0_RXCLK" SITE "L1"; # PL26A PCLKT6_1
LOCATE COMP "PHY0_TXD[3]" SITE "M1"; # PL26C
LOCATE COMP "PHY0_TXD[2]" SITE "L2"; # PL26B
LOCATE COMP "PHY0_TXEN" SITE "M2"; # PL26D
LOCATE COMP "PHY0_TXD[0]" SITE "K4"; # PL29A
LOCATE COMP "PHY0_GTXCLK" SITE "L4"; # PL29C
LOCATE COMP "PHY0_TXD[1]" SITE "K5"; # PL29B
LOCATE COMP "JH2[45]" SITE "L5"; # PL29D
LOCATE COMP "PHY0_RXD[0]" SITE "N1"; # PL32A
LOCATE COMP "JH2[39]" SITE "L3"; # PL32C
LOCATE COMP "PHY0_RXD[2]" SITE "P2"; # PL32B
LOCATE COMP "JH2[41]" SITE "M3"; # PL32D
LOCATE COMP "PHY0_RXD[1]" SITE "P1"; # PL35A
LOCATE COMP "JH2[43]" SITE "M4"; # PL35C
LOCATE COMP "LED_GREEN" SITE "R1"; # PL35B
LOCATE COMP "JH2[69]" SITE "N3"; # PL35D
LOCATE COMP "JH2[75]" SITE "N4"; # PL38A
LOCATE COMP "PHY0_RXD[3]" SITE "R2"; # PL38C
LOCATE COMP "JH2[73]" SITE "P3"; # PL38B
LOCATE COMP "PHY0_RXDV" SITE "T2"; # PL38D
LOCATE COMP "JH2[71]" SITE "P4"; # PL41A
LOCATE COMP "JH2[44]" SITE "R4"; # PL41C
LOCATE COMP "JH2[40]" SITE "R3"; # PL41B
LOCATE COMP "JH2[42]" SITE "T3"; # PL41D
LOCATE COMP "JH2[48]" SITE "R5"; # PL44A
LOCATE COMP "JH2[47]" SITE "M5"; # PL44C
LOCATE COMP "JH2[46]" SITE "T4"; # PL44B
LOCATE COMP "JH2[81]" SITE "N5"; # PL44D
LOCATE COMP "JH2[53]" SITE "M6"; # PL47A
LOCATE COMP "JH2[85]" SITE "N6"; # PL47B
LOCATE COMP "JH2[83]" SITE "P6"; # PL47C
LOCATE COMP "JH2[77]" SITE "P5"; # PL47D
LOCATE COMP "JH2[54]" SITE "T6"; # PB4A
LOCATE COMP "JH2[56]" SITE "R7"; # PB6A
LOCATE COMP "JH2[50]" SITE "R6"; # PB4B
LOCATE COMP "JH2[87]" SITE "P7"; # PB6B
LOCATE COMP "JH2[89]" SITE "N7"; # PB9A
LOCATE COMP "FLASH_SO" SITE "T7"; # PB11A
LOCATE COMP "JH2[55]" SITE "M7"; # PB9B
LOCATE COMP "FLASH_SI" SITE "T8"; # PB11B
LOCATE COMP "JH2[58]" SITE "R8"; # PB13A
LOCATE COMP "FLASH_CS" SITE "N8"; # PB15A
LOCATE COMP "JH2[91]" SITE "P8"; # PB13B
LOCATE COMP "JH2[95]" SITE "M8"; # PB15B
LOCATE COMP "JH2[97]" SITE "M9"; # PB18A
LOCATE COMP "JH2[103]" SITE "P12"; # PR47D
LOCATE COMP "JH2[57]" SITE "P11"; # PR47C
LOCATE COMP "JH2[99]" SITE "N11"; # PR47B
LOCATE COMP "INPUT_KEY_RC" SITE "M11"; # PR47A
LOCATE COMP "JH[101]" SITE "N12"; # PR44D
LOCATE COMP "JH2[96]" SITE "T13"; # PR44B
LOCATE COMP "JH2[105]" SITE "M12"; # PR44C
LOCATE COMP "JH2[90]" SITE "R12"; # PR44A
LOCATE COMP "JH2[98]" SITE "T14"; # PR41D
LOCATE COMP "JH2[100]" SITE "R14"; # PR41B
LOCATE COMP "JH2[92]" SITE "R13"; # PR41C
LOCATE COMP "JH2[106]" SITE "P13"; # PR41A
LOCATE COMP "JH2[102]" SITE "T15"; # PR38D
LOCATE COMP "JH2[104]" SITE "P14"; # PR38B
LOCATE COMP "SDR_DQ[0]" SITE "R15"; # PR38C
LOCATE COMP "SDR_DQ[4]" SITE "N13"; # PR38A
LOCATE COMP "SDR_DQ[1]" SITE "N14"; # PR35D
LOCATE COMP "SDR_DQ[9]" SITE "R16"; # PR35B
LOCATE COMP "SDR_DQ[2]" SITE "M13"; # PR35C
LOCATE COMP "SDR_DQ[13]" SITE "P16"; # PR35A
LOCATE COMP "SDR_DQ[3]" SITE "M14"; # PR32D
LOCATE COMP "SDR_DQ[14]" SITE "P15"; # PR32B
LOCATE COMP "SDR_DQ[7]" SITE "L14"; # PR32C
LOCATE COMP "SDR_DQ[15]" SITE "N16"; # PR32A
LOCATE COMP "LED_RED" SITE "L12"; # PR29D
LOCATE COMP "SDR_BA[1]" SITE "K12"; # PR29B
LOCATE COMP "SDR_DQ[5]" SITE "L13"; # PR29C
LOCATE COMP "SDR_CAS" SITE "K13"; # PR29A
LOCATE COMP "SDR_DQ[6]" SITE "M15"; # PR26D
LOCATE COMP "SDR_DQ[12]" SITE "L15"; # PR26B
LOCATE COMP "SDR_DQ[13]" SITE "M16"; # PR26C
LOCATE COMP "SDR_DQ[10]" SITE "L16"; # PR26A
LOCATE COMP "SDR_DQ[8]" SITE "K15"; # PR23D
LOCATE COMP "SDR_A[9]" SITE "J15"; # PR23B
LOCATE COMP "SDR_CLK" SITE "K16"; # PR23C
LOCATE COMP "SDR_A[7]" SITE "J16"; # PR23A
LOCATE COMP "SDR_WE" SITE "K14"; # PR20D
LOCATE COMP "SDR_A[8]" SITE "H15"; # PR20B
LOCATE COMP "SDR_RAS" SITE "J14"; # PR20C
LOCATE COMP "SDR_A[4]" SITE "G16"; # PR20A
LOCATE COMP "SDR_A[0]" SITE "J12"; # PR17D
LOCATE COMP "SDR_A[6]" SITE "H13"; # PR17B
LOCATE COMP "SDR_BA[0]" SITE "J13"; # PR17C
LOCATE COMP "SDR_A[10]" SITE "H12"; # PR17A
LOCATE COMP "SDR_A[2]" SITE "H14"; # PR14D
LOCATE COMP "SDR_A[5]" SITE "G15"; # PR14B
LOCATE COMP "SDR_A[1]" SITE "G14"; # PR14C
LOCATE COMP "SDR_A[3]" SITE "F16"; # PR14A
LOCATE COMP "SDR_DQ[29]" SITE "E16"; # PR11D
LOCATE COMP "SDR_DQ[16]" SITE "G13"; # PR11B
LOCATE COMP "SDR_DQ[31]" SITE "F15"; # PR11C
LOCATE COMP "SDR_DQ[22]" SITE "G12"; # PR11A
LOCATE COMP "SDR_DQ[23]" SITE "F12"; # PR8D
LOCATE COMP "SDR_DQ[29]" SITE "E15"; # PR8B
LOCATE COMP "SDR_DQ[30]" SITE "F13"; # PR8C
LOCATE COMP "SDR_DQ[28]" SITE "D16"; # PR8A
LOCATE COMP "SDR_DQ[17]" SITE "F14"; # PR5D
LOCATE COMP "SDR_DQ[24]" SITE "C15"; # PR5B
LOCATE COMP "SDR_DQ[18]" SITE "E14"; # PR5C
LOCATE COMP "SDR_DQ[26]" SITE "C16"; # PR5A
LOCATE COMP "SDR_DQ[20]" SITE "D14"; # PR2D
LOCATE COMP "SDR_DQ[19]" SITE "C14"; # PR2C
LOCATE COMP "SDR_DQ[21]" SITE "B15"; # PR2B
LOCATE COMP "SDR_DQ[25]" SITE "B16"; # PR2A
LOCATE COMP "JH2[70]" SITE "A15"; # PT67B
LOCATE COMP "JH2[72]" SITE "A14"; # PT65B
LOCATE COMP "JH2[68]" SITE "B14"; # PT67A
LOCATE COMP "JH1[79]" SITE "A13"; # PT65A
LOCATE COMP "JH2[64]" SITE "E13"; # PT62B
LOCATE COMP "JH2[74]" SITE "C13"; # PT60B
LOCATE COMP "JH2[62]" SITE "D13"; # PT62A
LOCATE COMP "JH2[76]" SITE "B13"; # PT60A
LOCATE COMP "JH2[60]" SITE "E12"; # PT58B
LOCATE COMP "JH2[82]" SITE "C12"; # PT56B
LOCATE COMP "JH2[84]" SITE "D12"; # PT58A
LOCATE COMP "JH1[77]" SITE "B12"; # PT56A
LOCATE COMP "JH1[75]" SITE "A12"; # PT53B
LOCATE COMP "JH2[78]" SITE "E11"; # PT51B
LOCATE COMP "JH1[71]" SITE "A11"; # PT53A
LOCATE COMP "JH2[88]" SITE "D11"; # PT51A
LOCATE COMP "JH2[86]" SITE "C11"; # PT49B
LOCATE COMP "JH1[87]" SITE "E10"; # PT47B
LOCATE COMP "JH1[73]" SITE "B11"; # PT49A
LOCATE COMP "MCU_PIN10" SITE "D10"; # PT47A
LOCATE COMP "JH1[89]" SITE "C10"; # PT44B
LOCATE COMP "JH1[65]" SITE "A10"; # PT42B
LOCATE COMP "JH1[69]" SITE "B10"; # PT44A
LOCATE COMP "JH1[61]" SITE "A9"; # PT42A
LOCATE COMP "JH1[100]" SITE "E9"; # PT40B
LOCATE COMP "MCU_PIN17" SITE "C9"; # PT38B GR_PCLK1_1
LOCATE COMP "JH1[83]" SITE "D9"; # PT40A
LOCATE COMP "JH1[63]" SITE "B9"; # PT38A
LOCATE COMP "JH1[55]" SITE "B8"; # PT35B
LOCATE COMP "JH1[28]" SITE "D8"; # PT33B
LOCATE COMP "JH1[59]" SITE "C8"; # PT35A
LOCATE COMP "JH1[98]" SITE "E8"; # PT33A
LOCATE COMP "JH1[57]" SITE "A8"; # PT29B
LOCATE COMP "JH1[49]" SITE "B7"; # PT27B
LOCATE COMP "JH1[52]" SITE "A7"; # PT29A
LOCATE COMP "JH1[32]" SITE "C7"; # PT27A
LOCATE COMP "JH1[34]" SITE "D7"; # PT24B
LOCATE COMP "JH1[45]" SITE "B6"; # PT22B
LOCATE COMP "JH1[30]" SITE "E7"; # PT24A
LOCATE COMP "JH1[36]" SITE "C6"; # PT22A
LOCATE COMP "JH1[38]" SITE "D6"; # PT20B
LOCATE COMP "JH1[47]" SITE "A6"; # PT18B
#LOCATE COMP "???" SITE "E6"; # PT20A
LOCATE COMP "JH1[43]" SITE "A5"; # PT18A
LOCATE COMP "JH1[41]" SITE "B5"; # PT15B
LOCATE COMP "JH1[44]" SITE "D5"; # PT13B
LOCATE COMP "JH1[42]" SITE "C5"; # PT15A
LOCATE COMP "JH1[46]" SITE "E5"; # PT13A
LOCATE COMP "JH1[35]" SITE "B4"; # PT11B
LOCATE COMP "JH1[76]" SITE "D4"; # PT9B
LOCATE COMP "JH1[80]" SITE "C4"; # PT11A
LOCATE COMP "JH1[72]" SITE "E4"; # PT9A
LOCATE COMP "JH1[37]" SITE "A4"; # PT6B
LOCATE COMP "JH1[31]" SITE "B3"; # PT4B
LOCATE COMP "JH1[33]" SITE "A3"; # PT6A
LOCATE COMP "JH1[29]" SITE "A2"; # PT4A
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment