Skip to content

Instantly share code, notes, and snippets.

@carsonip
Created April 5, 2019 14:20
Show Gist options
  • Save carsonip/7cb0edb148443516a28f76d4e6d94f03 to your computer and use it in GitHub Desktop.
Save carsonip/7cb0edb148443516a28f76d4e6d94f03 to your computer and use it in GitHub Desktop.
Python hiredis benchmark
Using a slightly modified version of https://github.com/popravich/python-redis-benchmark to disable async tests and support Python 2.
*** CPython 2.7
------------------------------------------------------------------------------------------------------------- benchmark 'bulk-string': 36 tests -------------------------------------------------------------------------------------------------------------
Name (time in ns) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_parser_bulk_string[---10-hiredis-bytes] 425.7475 (1.0) 1,924.3785 (1.03) 552.0330 (1.0) 71.1782 (1.03) 561.9867 (1.0) 85.1495 (inf) 13577;3083 1,811.4858 (1.0) 99865 14
benchmark_parser_bulk_string[---10-hiredis-utf-8] 441.0744 (1.04) 5,149.8413 (2.77) 596.6225 (1.08) 119.3013 (1.72) 596.0464 (1.06) 47.6837 (inf) 4441;5699 1,676.1018 (0.93) 66577 20
benchmark_parser_bulk_string[--256-hiredis-bytes] 441.0744 (1.04) 1,859.6649 (1.0) 618.6744 (1.12) 69.1837 (1.0) 607.9674 (1.08) 59.6046 (inf) 14654;6737 1,616.3592 (0.89) 52429 20
benchmark_parser_bulk_string[-1024-hiredis-bytes] 596.0464 (1.40) 2,896.7857 (1.56) 788.8049 (1.43) 88.0335 (1.27) 798.7022 (1.42) 59.6046 (inf) 9228;7389 1,267.7406 (0.70) 52429 20
benchmark_parser_bulk_string[-4096-hiredis-bytes] 953.6743 (2.24) 15,974.0448 (8.59) 1,699.2583 (3.08) 590.5489 (8.54) 1,907.3486 (3.39) 1,192.0929 (inf) 19493;471 588.4920 (0.32) 66577 1
benchmark_parser_bulk_string[--256-hiredis-utf-8] 1,192.0929 (2.80) 9,822.8455 (5.28) 1,720.5568 (3.12) 227.6256 (3.29) 1,764.2975 (3.14) 238.4186 (inf) 17069;4004 581.2072 (0.32) 99865 5
benchmark_parser_bulk_string[16384-hiredis-bytes] 2,861.0229 (6.72) 20,980.8350 (11.28) 4,405.9448 (7.98) 707.4798 (10.23) 4,053.1158 (7.21) 953.6743 (inf) 5430;708 226.9661 (0.13) 83887 1
benchmark_parser_bulk_string[---10-redispy[hi]-bytes] 3,814.6973 (8.96) 315,904.6173 (169.87) 5,593.0391 (10.13) 1,560.2930 (22.55) 5,960.4645 (10.61) 953.6743 (inf) 850;582 178.7937 (0.10) 52429 1
benchmark_parser_bulk_string[---10-redispy[hi]-utf-8] 3,814.6973 (8.96) 51,021.5759 (27.44) 5,776.3303 (10.46) 1,231.3336 (17.80) 5,960.4645 (10.61) 953.6743 (inf) 4284;2731 173.1203 (0.10) 49933 1
benchmark_parser_bulk_string[--256-redispy[hi]-bytes] 3,814.6973 (8.96) 22,888.1836 (12.31) 5,623.8663 (10.19) 876.5139 (12.67) 5,960.4645 (10.61) 953.6743 (inf) 4907;943 177.8136 (0.10) 52429 1
benchmark_parser_bulk_string[-1024-redispy[hi]-bytes] 3,814.6973 (8.96) 23,841.8579 (12.82) 5,987.3712 (10.85) 740.6774 (10.71) 5,960.4645 (10.61) 0.0000 (1.0) 12739;18551 167.0182 (0.09) 47663 1
benchmark_parser_bulk_string[-1024-hiredis-utf-8] 3,933.9066 (9.24) 14,543.5333 (7.82) 4,877.4504 (8.84) 547.6519 (7.92) 5,006.7902 (8.91) 476.8372 (inf) 17485;4332 205.0252 (0.11) 82242 2
benchmark_parser_bulk_string[--256-redispy[hi]-utf-8] 4,768.3716 (11.20) 28,133.3923 (15.13) 6,779.2199 (12.28) 841.8354 (12.17) 6,914.1388 (12.30) 1,192.0929 (inf) 5281;1304 147.5096 (0.08) 59075 1
benchmark_parser_bulk_string[-4096-redispy[hi]-bytes] 4,768.3716 (11.20) 41,961.6699 (22.56) 6,921.2115 (12.54) 1,230.8321 (17.79) 6,914.1388 (12.30) 953.6743 (inf) 2403;1574 144.4834 (0.08) 39946 1
benchmark_parser_bulk_string[32768-hiredis-bytes] 4,768.3716 (11.20) 34,093.8568 (18.33) 7,253.1051 (13.14) 1,131.0657 (16.35) 6,914.1388 (12.30) 238.4186 (inf) 8781;19351 137.8720 (0.08) 62602 1
benchmark_parser_bulk_string[---10-redispy[py]-bytes] 7,867.8131 (18.48) 37,908.5541 (20.38) 10,076.8316 (18.25) 1,687.9028 (24.40) 10,013.5803 (17.82) 953.6743 (inf) 4794;2453 99.2375 (0.05) 31301 1
benchmark_parser_bulk_string[--256-redispy[py]-bytes] 7,867.8131 (18.48) 31,948.0896 (17.18) 10,077.0585 (18.25) 1,368.2129 (19.78) 10,013.5803 (17.82) 0.0000 (1.0) 4273;12714 99.2353 (0.05) 30175 1
benchmark_parser_bulk_string[-1024-redispy[hi]-utf-8] 7,867.8131 (18.48) 30,040.7410 (16.15) 10,000.8674 (18.12) 1,043.9991 (15.09) 10,013.5803 (17.82) 0.0000 (1.0) 7111;16317 99.9913 (0.06) 45591 1
benchmark_parser_bulk_string[-1024-redispy[py]-bytes] 7,867.8131 (18.48) 31,948.0896 (17.18) 10,613.7998 (19.23) 1,552.3470 (22.44) 10,967.2546 (19.52) 953.6743 (inf) 7072;2237 94.2170 (0.05) 29538 1
benchmark_parser_bulk_string[16384-redispy[hi]-bytes] 7,867.8131 (18.48) 30,994.4153 (16.67) 10,532.9306 (19.08) 1,357.8572 (19.63) 10,967.2546 (19.52) 953.6743 (inf) 7625;1618 94.9403 (0.05) 27777 1
benchmark_parser_bulk_string[---10-redispy[py]-utf-8] 8,821.4874 (20.72) 95,129.0131 (51.15) 11,342.6425 (20.55) 1,907.2057 (27.57) 10,967.2546 (19.52) 238.4186 (inf) 3782;11258 88.1629 (0.05) 34380 1
benchmark_parser_bulk_string[-4096-redispy[py]-bytes] 8,821.4874 (20.72) 37,908.5541 (20.38) 12,014.0670 (21.76) 2,102.1888 (30.39) 11,920.9290 (21.21) 1,192.0929 (inf) 2335;2566 83.2358 (0.05) 29538 1
benchmark_parser_bulk_string[--256-redispy[py]-utf-8] 9,775.1617 (22.96) 58,889.3890 (31.67) 12,881.1950 (23.33) 2,599.7861 (37.58) 12,874.6033 (22.91) 1,192.0929 (inf) 1287;1528 77.6325 (0.04) 13889 1
benchmark_parser_bulk_string[-1024-redispy[py]-utf-8] 12,874.6033 (30.24) 46,014.7858 (24.74) 16,101.7578 (29.17) 1,885.5027 (27.25) 15,974.0448 (28.42) 238.4186 (inf) 4739;10676 62.1050 (0.03) 24967 1
benchmark_parser_bulk_string[32768-redispy[hi]-bytes] 12,874.6033 (30.24) 147,819.5190 (79.49) 17,005.9856 (30.81) 3,832.1695 (55.39) 15,974.0448 (28.42) 953.6743 (inf) 1838;5595 58.8028 (0.03) 24967 1
benchmark_parser_bulk_string[16384-redispy[py]-bytes] 13,828.2776 (32.48) 41,007.9956 (22.05) 17,688.1943 (32.04) 1,880.6468 (27.18) 17,881.3934 (31.82) 1,192.0929 (inf) 2715;2702 56.5349 (0.03) 15364 1
benchmark_parser_bulk_string[-4096-hiredis-utf-8] 14,781.9519 (34.72) 44,107.4371 (23.72) 18,065.0643 (32.72) 1,807.4314 (26.13) 18,119.8120 (32.24) 238.4186 (inf) 9894;17285 55.3555 (0.03) 45591 1
benchmark_parser_bulk_string[-4096-redispy[hi]-utf-8] 18,835.0677 (44.24) 61,035.1562 (32.82) 23,282.3721 (42.18) 2,533.2849 (36.62) 23,126.6022 (41.15) 1,192.0929 (inf) 5206;5276 42.9509 (0.02) 23173 1
benchmark_parser_bulk_string[32768-redispy[py]-bytes] 20,980.8350 (49.28) 63,896.1792 (34.36) 26,770.2298 (48.49) 3,511.6437 (50.76) 25,987.6251 (46.24) 953.6743 (inf) 3207;4382 37.3549 (0.02) 16132 1
benchmark_parser_bulk_string[-4096-redispy[py]-utf-8] 23,841.8579 (56.00) 138,998.0316 (74.74) 30,091.6027 (54.51) 4,351.5816 (62.90) 30,040.7410 (53.45) 953.6743 (inf) 3853;6718 33.2319 (0.02) 21291 1
benchmark_parser_bulk_string[16384-hiredis-utf-8] 54,836.2732 (128.80) 119,924.5453 (64.49) 65,792.9264 (119.18) 5,902.5650 (85.32) 65,088.2721 (115.82) 1,192.0929 (inf) 2159;3582 15.1992 (0.01) 11492 1
benchmark_parser_bulk_string[16384-redispy[hi]-utf-8] 59,843.0634 (140.56) 181,913.3759 (97.82) 71,951.2604 (130.34) 7,787.5423 (112.56) 72,002.4109 (128.12) 953.6743 (inf) 1724;3028 13.8983 (0.01) 8129 1
benchmark_parser_bulk_string[16384-redispy[py]-utf-8] 68,902.9694 (161.84) 246,047.9736 (132.31) 83,308.4793 (150.91) 11,173.5392 (161.51) 82,015.9912 (145.94) 1,907.3486 (inf) 1337;2432 12.0036 (0.01) 7464 1
benchmark_parser_bulk_string[32768-hiredis-utf-8] 108,957.2906 (255.92) 199,079.5135 (107.05) 131,768.7449 (238.70) 11,370.0665 (164.35) 129,938.1256 (231.21) 8,106.2317 (inf) 1750;978 7.5891 (0.00) 7097 1
benchmark_parser_bulk_string[32768-redispy[hi]-utf-8] 115,871.4294 (272.16) 255,107.8796 (137.18) 144,917.3471 (262.52) 13,055.8044 (188.71) 144,004.8218 (256.24) 8,821.4874 (inf) 1041;932 6.9005 (0.00) 6414 1
benchmark_parser_bulk_string[32768-redispy[py]-utf-8] 128,984.4513 (302.96) 633,001.3275 (340.38) 156,596.2526 (283.67) 19,076.3050 (275.73) 154,018.4021 (274.06) 7,867.8131 (inf) 1124;1239 6.3858 (0.00) 5615 1
-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------- benchmark 'bulk-string-fractioned': 6 tests --------------------------------------------------------------------------------------------------------
Name (time in ns) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_parser_bulk_string_fractioned[hiredis-bytes] 476.8372 (1.0) 2,598.7625 (1.0) 739.2843 (1.0) 101.1893 (1.0) 715.2557 (1.0) 95.3674 (1.0) 18899;2664 1,352.6596 (1.0) 99865 10
benchmark_parser_bulk_string_fractioned[hiredis-utf-8] 2,861.0229 (6.00) 19,788.7421 (7.61) 3,616.3911 (4.89) 620.4422 (6.13) 3,814.6973 (5.33) 953.6743 (10.00) 16319;279 276.5188 (0.20) 77673 1
benchmark_parser_bulk_string_fractioned[redispy[hi]-bytes] 3,814.6973 (8.00) 24,080.2765 (9.27) 5,847.4420 (7.91) 848.7513 (8.39) 5,960.4645 (8.33) 953.6743 (10.00) 5451;1419 171.0149 (0.13) 52429 1
benchmark_parser_bulk_string_fractioned[redispy[hi]-utf-8] 6,914.1388 (14.50) 28,133.3923 (10.83) 8,500.1878 (11.50) 1,042.8118 (10.31) 8,106.2317 (11.33) 1,192.0929 (12.50) 8419;1719 117.6445 (0.09) 47663 1
benchmark_parser_bulk_string_fractioned[redispy[py]-bytes] 7,867.8131 (16.50) 31,948.0896 (12.29) 10,469.9781 (14.16) 1,288.1414 (12.73) 10,013.5803 (14.00) 953.6743 (10.00) 6461;1918 95.5112 (0.07) 26887 1
benchmark_parser_bulk_string_fractioned[redispy[py]-utf-8] 10,967.2546 (23.00) 36,954.8798 (14.22) 14,534.9079 (19.66) 1,667.9641 (16.48) 14,066.6962 (19.67) 953.6743 (10.00) 4431;4307 68.7999 (0.05) 27061 1
------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------ benchmark 'multi-bulk': 36 tests -----------------------------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS Rounds Iterations
---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_parser_multi_bulk[---10-hiredis-bytes] 4.7684 (1.0) 24.0803 (1.0) 6.9125 (1.0) 1.0785 (1.0) 6.9141 (1.0) 0.9537 (inf) 3388;1308 144,664.6770 (1.0) 29331 1
benchmark_parser_multi_bulk[--256-hiredis-bytes] 10.9673 (2.30) 34.0939 (1.42) 13.9799 (2.02) 1.2739 (1.18) 14.0667 (2.03) 0.2384 (inf) 6991;22533 71,531.3687 (0.49) 52429 1
benchmark_parser_multi_bulk[---10-redispy[hi]-bytes] 11.9209 (2.50) 39.1006 (1.62) 15.1573 (2.19) 1.6745 (1.55) 15.0204 (2.17) 0.0000 (1.0) 2753;6989 65,974.5971 (0.46) 17550 1
benchmark_parser_multi_bulk[--256-redispy[hi]-bytes] 15.9740 (3.35) 46.0148 (1.91) 19.9842 (2.89) 2.0170 (1.87) 20.0272 (2.90) 0.2384 (inf) 4150;8024 50,039.5576 (0.35) 17849 1
benchmark_parser_multi_bulk[-1024-hiredis-bytes] 27.8950 (5.85) 108.0036 (4.49) 34.8817 (5.05) 3.6080 (3.35) 34.0939 (4.93) 2.1458 (inf) 3625;3018 28,668.3089 (0.20) 18158 1
benchmark_parser_multi_bulk[-1024-redispy[hi]-bytes] 32.9018 (6.90) 67.9493 (2.82) 40.8676 (5.91) 3.8752 (3.59) 41.0080 (5.93) 2.1458 (inf) 3509;3234 24,469.2770 (0.17) 13707 1
benchmark_parser_multi_bulk[---10-redispy[py]-bytes] 47.9221 (10.05) 133.9912 (5.56) 59.1902 (8.56) 7.7382 (7.17) 57.9357 (8.38) 2.8610 (inf) 1642;1745 16,894.6955 (0.12) 8066 1
benchmark_parser_multi_bulk[--256-hiredis-utf-8] 97.0364 (20.35) 216.9609 (9.01) 116.8413 (16.90) 8.2021 (7.60) 115.8714 (16.76) 2.1458 (inf) 1047;1767 8,558.6148 (0.06) 6991 1
benchmark_parser_multi_bulk[-4096-hiredis-bytes] 97.9900 (20.55) 179.0524 (7.44) 116.5515 (16.86) 9.6907 (8.98) 116.1098 (16.79) 4.2915 (inf) 1268;1332 8,579.8955 (0.06) 5406 1
benchmark_parser_multi_bulk[--256-redispy[hi]-utf-8] 103.9505 (21.80) 223.8750 (9.30) 123.8659 (17.92) 9.8449 (9.13) 123.0240 (17.79) 4.0531 (inf) 1157;1216 8,073.2456 (0.06) 5746 1
benchmark_parser_multi_bulk[-4096-redispy[hi]-bytes] 103.9505 (21.80) 185.9665 (7.72) 123.2189 (17.83) 10.7309 (9.95) 123.0240 (17.79) 5.0068 (inf) 1431;1432 8,115.6372 (0.06) 5778 1
benchmark_parser_multi_bulk[-1024-hiredis-utf-8] 109.9110 (23.05) 178.0987 (7.40) 130.4174 (18.87) 10.2885 (9.54) 129.9381 (18.79) 3.0994 (inf) 1362;2131 7,667.6886 (0.05) 6658 1
benchmark_parser_multi_bulk[---10-hiredis-utf-8] 114.9178 (24.10) 206.9473 (8.59) 137.6250 (19.91) 10.4802 (9.72) 136.1370 (19.69) 3.0994 (inf) 1151;1880 7,266.1240 (0.05) 6214 1
benchmark_parser_multi_bulk[-1024-redispy[hi]-utf-8] 115.8714 (24.30) 200.0332 (8.31) 136.6834 (19.77) 11.0170 (10.21) 136.8523 (19.79) 4.0531 (inf) 1350;1545 7,316.1770 (0.05) 5950 1
benchmark_parser_multi_bulk[---10-redispy[hi]-utf-8] 121.8319 (25.55) 221.9677 (9.22) 145.0934 (20.99) 11.9620 (11.09) 144.9585 (20.97) 3.8147 (inf) 913;1236 6,892.1123 (0.05) 4307 1
benchmark_parser_multi_bulk[---10-redispy[py]-utf-8] 170.9461 (35.85) 370.0256 (15.37) 207.8965 (30.08) 23.6395 (21.92) 203.8479 (29.48) 9.0599 (inf) 673;757 4,810.0856 (0.03) 3450 1
benchmark_parser_multi_bulk[-4096-hiredis-utf-8] 224.8287 (47.15) 380.0392 (15.78) 270.2290 (39.09) 20.9500 (19.42) 270.1283 (39.07) 10.0136 (inf) 638;630 3,700.5650 (0.03) 2778 1
benchmark_parser_multi_bulk[-4096-redispy[hi]-utf-8] 234.8423 (49.25) 360.9657 (14.99) 282.1631 (40.82) 20.7503 (19.24) 283.0029 (40.93) 11.2057 (inf) 589;552 3,544.0497 (0.02) 2585 1
benchmark_parser_multi_bulk[16384-hiredis-bytes] 381.9466 (80.10) 744.1044 (30.90) 460.3517 (66.60) 31.9286 (29.60) 462.4128 (66.88) 22.8882 (inf) 429;321 2,172.2524 (0.02) 1880 1
benchmark_parser_multi_bulk[16384-redispy[hi]-bytes] 393.8675 (82.60) 633.9550 (26.33) 465.8927 (67.40) 33.3614 (30.93) 468.0157 (67.69) 20.6232 (inf) 420;359 2,146.4170 (0.01) 1684 1
benchmark_parser_multi_bulk[32768-hiredis-bytes] 543.8328 (114.05) 1,549.0055 (64.33) 658.3092 (95.23) 80.6962 (74.82) 647.0680 (93.59) 23.1266 (inf) 113;240 1,519.0430 (0.01) 1315 1
benchmark_parser_multi_bulk[32768-redispy[hi]-bytes] 547.8859 (114.90) 1,163.0058 (48.30) 654.5234 (94.69) 39.1060 (36.26) 653.9822 (94.59) 23.5438 (inf) 298;262 1,527.8293 (0.01) 1389 1
benchmark_parser_multi_bulk[--256-redispy[py]-bytes] 703.8116 (147.60) 1,006.8417 (41.81) 844.2949 (122.14) 49.1805 (45.60) 848.0549 (122.66) 35.0475 (inf) 272;153 1,184.4203 (0.01) 1077 1
benchmark_parser_multi_bulk[16384-hiredis-utf-8] 746.0117 (156.45) 1,036.1671 (43.03) 882.1050 (127.61) 49.6693 (46.05) 888.1092 (128.45) 29.9215 (inf) 250;156 1,133.6518 (0.01) 944 1
benchmark_parser_multi_bulk[16384-redispy[hi]-utf-8] 759.1248 (159.20) 1,046.8960 (43.48) 903.4254 (130.69) 52.8676 (49.02) 910.9974 (131.76) 37.1933 (inf) 256;141 1,106.8983 (0.01) 1001 1
benchmark_parser_multi_bulk[--256-redispy[py]-utf-8] 1,043.0813 (218.75) 1,522.0642 (63.21) 1,244.1855 (179.99) 71.7421 (66.52) 1,255.0354 (181.52) 69.8566 (inf) 181;62 803.7387 (0.01) 719 1
benchmark_parser_multi_bulk[32768-hiredis-utf-8] 1,490.1161 (312.50) 2,094.9841 (87.00) 1,781.4425 (257.71) 78.6873 (72.96) 1,785.9936 (258.31) 67.8897 (inf) 96;47 561.3428 (0.00) 463 1
benchmark_parser_multi_bulk[32768-redispy[hi]-utf-8] 1,502.0370 (315.00) 3,551.9600 (147.50) 1,846.5505 (267.13) 263.2253 (244.06) 1,813.8885 (262.34) 87.0228 (inf) 32;47 541.5503 (0.00) 506 1
benchmark_parser_multi_bulk[-1024-redispy[py]-bytes] 2,835.9890 (594.75) 3,428.9360 (142.40) 3,207.9033 (464.07) 104.1560 (96.57) 3,228.9028 (467.00) 101.5663 (inf) 73;20 311.7301 (0.00) 281 1
benchmark_parser_multi_bulk[-1024-redispy[py]-utf-8] 3,978.0140 (834.25) 8,126.0204 (337.46) 4,504.5839 (651.65) 431.6743 (400.24) 4,462.0037 (645.34) 203.4903 (inf) 7;7 221.9961 (0.00) 207 1
benchmark_parser_multi_bulk[-4096-redispy[py]-bytes] 12,404.9187 (>1000.0) 13,440.1321 (558.14) 12,825.4074 (>1000.0) 208.6713 (193.47) 12,822.8664 (>1000.0) 275.3735 (inf) 21;1 77.9702 (0.00) 73 1
benchmark_parser_multi_bulk[-4096-redispy[py]-utf-8] 16,748.1899 (>1000.0) 18,234.0145 (757.22) 17,484.6942 (>1000.0) 300.9975 (279.08) 17,430.0671 (>1000.0) 391.3045 (inf) 13;0 57.1929 (0.00) 57 1
benchmark_parser_multi_bulk[16384-redispy[py]-bytes] 49,949.8844 (>1000.0) 53,023.0999 (>1000.0) 50,929.1291 (>1000.0) 817.4650 (757.93) 50,791.0252 (>1000.0) 1,007.5569 (inf) 7;1 19.6351 (0.00) 20 1
benchmark_parser_multi_bulk[16384-redispy[py]-utf-8] 68,995.9526 (>1000.0) 71,824.0738 (>1000.0) 70,825.6790 (>1000.0) 803.6048 (745.08) 70,942.5211 (>1000.0) 939.1308 (inf) 3;1 14.1192 (0.00) 14 1
benchmark_parser_multi_bulk[32768-redispy[py]-bytes] 100,687.0270 (>1000.0) 103,814.8403 (>1000.0) 101,988.7447 (>1000.0) 978.2387 (907.00) 101,874.9475 (>1000.0) 872.8504 (inf) 4;1 9.8050 (0.00) 10 1
benchmark_parser_multi_bulk[32768-redispy[py]-utf-8] 138,914.1083 (>1000.0) 142,114.8777 (>1000.0) 140,389.3828 (>1000.0) 1,132.7596 (>1000.0) 140,210.5093 (>1000.0) 1,899.4808 (inf) 2;0 7.1230 (0.00) 8 1
---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------- benchmark 'multi-bulk-simple': 6 tests ------------------------------------------------------------------------------------------------------
Name (time in ns) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_parser_multi_bulk_simple[hiredis-bytes] 768.2376 (1.0) 4,000.1339 (1.74) 977.4436 (1.0) 128.1392 (1.23) 1,006.6562 (1.01) 105.9638 (2.22) 17121;4181 1,023.0770 (1.0) 91181 9
benchmark_parser_multi_bulk_simple[hiredis-utf-8] 846.3860 (1.10) 2,300.7393 (1.0) 1,024.0360 (1.05) 104.3410 (1.0) 1,001.3580 (1.0) 47.6837 (1.0) 9108;9108 976.5282 (0.95) 38480 20
benchmark_parser_multi_bulk_simple[redispy[hi]-bytes] 4,768.3716 (6.21) 30,040.7410 (13.06) 6,914.6840 (7.07) 1,034.4625 (9.91) 6,914.1388 (6.90) 238.4186 (5.00) 4181;16227 144.6198 (0.14) 47663 1
benchmark_parser_multi_bulk_simple[redispy[hi]-utf-8] 4,768.3716 (6.21) 30,994.4153 (13.47) 6,921.7880 (7.08) 960.6076 (9.21) 6,914.1388 (6.90) 238.4186 (5.00) 13578;16757 144.4713 (0.14) 49933 1
benchmark_parser_multi_bulk_simple[redispy[py]-bytes] 19,073.4863 (24.83) 60,081.4819 (26.11) 24,009.7175 (24.56) 2,512.3942 (24.08) 24,080.2765 (24.05) 953.6743 (20.00) 3920;4988 41.6498 (0.04) 19240 1
benchmark_parser_multi_bulk_simple[redispy[py]-utf-8] 20,980.8350 (27.31) 75,101.8524 (32.64) 26,441.3391 (27.05) 2,758.3703 (26.44) 25,987.6251 (25.95) 953.6743 (20.00) 3277;3490 37.8196 (0.04) 19240 1
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------- benchmark 'redispy-get': 12 tests ----------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_get[redis-py[hi]----10] 59.8431 (1.0) 212.9078 (1.0) 94.8036 (1.04) 19.9369 (1.0) 89.8838 (1.02) 21.9345 (1.05) 1422;202 10.5481 (0.96) 5000 1
benchmark_get[redis-py[hi]--1024] 61.0352 (1.02) 261.0683 (1.23) 91.4097 (1.0) 20.2781 (1.02) 87.9765 (1.0) 20.9808 (1.0) 1795;422 10.9398 (1.0) 6899 1
benchmark_get[redis-py[hi]--4096] 65.0883 (1.09) 307.0831 (1.44) 116.4315 (1.27) 27.6108 (1.38) 114.9178 (1.31) 35.7628 (1.70) 702;67 8.5887 (0.79) 2865 1
benchmark_get[redis-py[py]----10] 66.9956 (1.12) 648.0217 (3.04) 112.1004 (1.23) 28.3662 (1.42) 108.0036 (1.23) 35.7628 (1.70) 1939;176 8.9206 (0.82) 6991 1
benchmark_get[redis-py[py]---256] 69.8566 (1.17) 224.8287 (1.06) 103.0693 (1.13) 23.6630 (1.19) 100.1358 (1.14) 21.9345 (1.05) 557;302 9.7022 (0.89) 2842 1
benchmark_get[redis-py[py]--1024] 70.0951 (1.17) 358.8200 (1.69) 109.8403 (1.20) 22.3297 (1.12) 108.9573 (1.24) 28.8486 (1.38) 1673;182 9.1041 (0.83) 7682 1
benchmark_get[redis-py[hi]---256] 72.0024 (1.20) 462.0552 (2.17) 105.9836 (1.16) 22.1817 (1.11) 104.9042 (1.19) 28.8486 (1.38) 2307;250 9.4354 (0.86) 8613 1
benchmark_get[redis-py[py]--4096] 97.0364 (1.62) 362.1578 (1.70) 128.0924 (1.40) 24.2346 (1.22) 123.0240 (1.40) 25.9876 (1.24) 1024;176 7.8069 (0.71) 3817 1
benchmark_get[redis-py[hi]-16384] 99.8974 (1.67) 300.8842 (1.41) 139.1060 (1.52) 21.1542 (1.06) 137.8059 (1.57) 26.2260 (1.25) 861;56 7.1888 (0.66) 3427 1
benchmark_get[redis-py[py]-16384] 102.9968 (1.72) 407.9342 (1.92) 132.3231 (1.45) 26.5635 (1.33) 132.0839 (1.50) 36.9549 (1.76) 920;77 7.5573 (0.69) 3719 1
benchmark_get[redis-py[hi]-32768] 120.1630 (2.01) 324.9645 (1.53) 161.5629 (1.77) 31.9120 (1.60) 155.9258 (1.77) 49.1142 (2.34) 683;12 6.1895 (0.57) 2342 1
benchmark_get[redis-py[py]-32768] 122.0703 (2.04) 1,075.9830 (5.05) 163.5091 (1.79) 37.4330 (1.88) 150.9190 (1.72) 38.1470 (1.82) 517;116 6.1159 (0.56) 3259 1
------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------ benchmark 'redispy-hgetall': 12 tests -------------------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS Rounds Iterations
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_hgetall[redis-py[hi]----10] 119.9245 (1.0) 389.0991 (1.0) 154.9676 (1.0) 32.1896 (1.0) 138.0444 (1.0) 43.8690 (1.01) 504;63 6,452.9598 (1.0) 3069 1
benchmark_hgetall[redis-py[py]----10] 214.0999 (1.79) 633.9550 (1.63) 278.7259 (1.80) 59.5507 (1.85) 257.9689 (1.87) 43.3922 (1.0) 396;240 3,587.7542 (0.56) 2274 1
benchmark_hgetall[redis-py[hi]---256] 217.9146 (1.82) 617.9810 (1.59) 293.9405 (1.90) 56.1999 (1.75) 272.0356 (1.97) 72.0024 (1.66) 615;54 3,402.0492 (0.53) 2471 1
benchmark_hgetall[redis-py[hi]--1024] 450.1343 (3.75) 1,183.0330 (3.04) 586.0104 (3.78) 123.1374 (3.83) 523.8056 (3.79) 153.7204 (3.54) 126;28 1,706.4543 (0.26) 743 1
benchmark_hgetall[redis-py[hi]--4096] 1,389.9803 (11.59) 5,023.0026 (12.91) 2,283.0219 (14.73) 564.1814 (17.53) 2,117.8722 (15.34) 753.1643 (17.36) 116;4 438.0159 (0.07) 346 1
benchmark_hgetall[redis-py[py]---256] 1,649.8566 (13.76) 4,446.0297 (11.43) 2,199.2509 (14.19) 370.9856 (11.53) 2,171.9933 (15.73) 409.2455 (9.43) 25;11 454.7003 (0.07) 361 1
benchmark_hgetall[redis-py[py]--1024] 6,999.0158 (58.36) 12,671.9475 (32.57) 7,763.7099 (50.10) 790.7765 (24.57) 7,514.9536 (54.44) 733.8524 (16.91) 12;3 128.8044 (0.02) 114 1
benchmark_hgetall[redis-py[hi]-16384] 7,644.1765 (63.74) 15,483.1409 (39.79) 9,297.6190 (60.00) 1,347.1182 (41.85) 9,107.8281 (65.98) 2,253.4728 (51.93) 41;1 107.5544 (0.02) 123 1
benchmark_hgetall[redis-py[hi]-32768] 15,733.0036 (131.19) 27,518.0340 (70.72) 21,372.6176 (137.92) 3,514.3064 (109.18) 20,956.0394 (151.81) 6,601.6316 (152.14) 22;0 46.7888 (0.01) 47 1
benchmark_hgetall[redis-py[py]--4096] 29,167.8905 (243.22) 36,292.0761 (93.27) 31,283.0925 (201.87) 2,140.3617 (66.49) 30,279.1595 (219.34) 1,919.6868 (44.24) 7;4 31.9661 (0.00) 35 1
benchmark_hgetall[redis-py[py]-16384] 117,185.1158 (977.16) 122,431.9935 (314.66) 120,538.2082 (777.83) 1,634.5456 (50.78) 121,196.9852 (877.96) 1,739.6212 (40.09) 3;1 8.2961 (0.00) 9 1
benchmark_hgetall[redis-py[py]-32768] 222,237.1101 (>1000.0) 225,694.8948 (580.04) 223,751.5926 (>1000.0) 1,381.1379 (42.91) 223,815.9180 (>1000.0) 2,134.7404 (49.20) 2;0 4.4692 (0.00) 5 1
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------- benchmark 'redispy-lrange': 12 tests ------------------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS Rounds Iterations
---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_lrange[redis-py[hi]----10] 119.9245 (1.0) 414.8483 (1.0) 176.6133 (1.0) 39.3326 (1.0) 175.9529 (1.0) 58.1741 (1.08) 1048;28 5,662.0862 (1.0) 3040 1
benchmark_lrange[redis-py[hi]---256] 210.0468 (1.75) 1,827.9552 (4.41) 269.2102 (1.52) 57.1367 (1.45) 258.9226 (1.47) 61.9888 (1.15) 220;51 3,714.5699 (0.66) 1976 1
benchmark_lrange[redis-py[py]----10] 265.8367 (2.22) 736.9518 (1.78) 323.1336 (1.83) 64.5058 (1.64) 299.9306 (1.70) 53.8826 (1.0) 298;234 3,094.6953 (0.55) 2184 1
benchmark_lrange[redis-py[hi]--1024] 421.0472 (3.51) 1,483.9172 (3.58) 578.3681 (3.27) 131.6423 (3.35) 567.1978 (3.22) 135.8986 (2.52) 337;112 1,729.0027 (0.31) 1799 1
benchmark_lrange[redis-py[hi]--4096] 1,319.1700 (11.00) 3,407.0015 (8.21) 1,825.5771 (10.34) 303.9414 (7.73) 1,843.4525 (10.48) 437.2597 (8.12) 50;14 547.7720 (0.10) 550 1
benchmark_lrange[redis-py[py]---256] 1,609.8022 (13.42) 5,083.0841 (12.25) 2,234.0225 (12.65) 446.2172 (11.34) 2,228.9753 (12.67) 430.5840 (7.99) 49;19 447.6231 (0.08) 496 1
benchmark_lrange[redis-py[hi]-16384] 5,760.9081 (48.04) 10,501.8616 (25.31) 6,902.4163 (39.08) 810.4813 (20.61) 7,134.9144 (40.55) 1,144.4688 (21.24) 50;4 144.8768 (0.03) 161 1
benchmark_lrange[redis-py[py]--1024] 6,528.1391 (54.44) 14,243.1259 (34.33) 7,624.1667 (43.17) 834.0330 (21.20) 7,390.0223 (42.00) 909.5073 (16.88) 21;3 131.1619 (0.02) 137 1
benchmark_lrange[redis-py[hi]-32768] 9,598.0167 (80.03) 17,682.0755 (42.62) 12,271.3179 (69.48) 1,235.8616 (31.42) 12,535.8105 (71.25) 1,315.1169 (24.41) 15;2 81.4908 (0.01) 69 1
benchmark_lrange[redis-py[py]--4096] 29,196.0239 (243.45) 30,725.0023 (74.06) 29,935.9049 (169.50) 396.0530 (10.07) 29,864.0728 (169.73) 490.2482 (9.10) 11;0 33.4047 (0.01) 35 1
benchmark_lrange[redis-py[py]-16384] 110,244.9894 (919.29) 119,235.9924 (287.42) 115,983.3537 (656.71) 2,621.8341 (66.66) 116,667.9859 (663.06) 2,237.0815 (41.52) 2;1 8.6219 (0.00) 9 1
benchmark_lrange[redis-py[py]-32768] 214,654.2072 (>1000.0) 228,085.0410 (549.80) 221,875.8106 (>1000.0) 5,270.6284 (134.00) 223,807.8117 (>1000.0) 7,634.2225 (141.68) 2;0 4.5070 (0.00) 5 1
---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------- benchmark 'redispy-ping': 2 tests -----------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_ping[redis-py[py]] 64.8499 (1.0) 505.9242 (1.95) 97.4212 (1.0) 21.9050 (1.06) 96.0827 (1.0) 27.1797 (1.08) 1314;98 10.2647 (1.0) 4923 1
benchmark_ping[redis-py[hi]] 74.8634 (1.15) 259.8763 (1.0) 101.4354 (1.04) 20.7520 (1.0) 97.9900 (1.02) 25.2724 (1.0) 994;98 9.8585 (0.96) 3638 1
---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------- benchmark 'redispy-set': 12 tests ---------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_set[redis-py[hi]--1024] 70.0951 (1.0) 236.9881 (1.13) 113.9073 (1.07) 26.6962 (1.45) 107.0499 (1.08) 32.9018 (2.06) 412;41 8.7791 (0.93) 1458 1
benchmark_set[redis-py[py]---256] 70.0951 (1.0) 210.0468 (1.0) 106.2463 (1.0) 18.4126 (1.0) 105.1426 (1.06) 15.9740 (1.0) 36;22 9.4121 (1.0) 205 1
benchmark_set[redis-py[py]----10] 72.9561 (1.04) 676.8703 (3.22) 113.3902 (1.07) 25.4785 (1.38) 111.1031 (1.12) 26.2260 (1.64) 866;185 8.8191 (0.94) 5000 1
benchmark_set[redis-py[hi]----10] 75.1019 (1.07) 521.1830 (2.48) 107.7379 (1.01) 31.3819 (1.70) 98.9437 (1.0) 26.9413 (1.69) 221;145 9.2818 (0.99) 1355 1
benchmark_set[redis-py[hi]---256] 75.1019 (1.07) 348.8064 (1.66) 117.6777 (1.11) 22.7986 (1.24) 113.9641 (1.15) 22.8882 (1.43) 1065;203 8.4978 (0.90) 4906 1
benchmark_set[redis-py[py]--1024] 78.2013 (1.12) 314.9509 (1.50) 109.2220 (1.03) 23.3730 (1.27) 98.9437 (1.0) 27.1797 (1.70) 918;157 9.1557 (0.97) 4220 1
benchmark_set[redis-py[hi]--4096] 104.9042 (1.50) 356.9126 (1.70) 165.2494 (1.56) 42.9604 (2.33) 156.8794 (1.59) 66.0419 (4.13) 566;8 6.0515 (0.64) 1704 1
benchmark_set[redis-py[py]--4096] 104.9042 (1.50) 376.9398 (1.79) 170.7435 (1.61) 42.5315 (2.31) 166.1777 (1.68) 70.3335 (4.40) 380;5 5.8567 (0.62) 999 1
benchmark_set[redis-py[hi]-16384] 137.8059 (1.97) 652.0748 (3.10) 220.2064 (2.07) 53.7565 (2.92) 211.9541 (2.14) 77.9629 (4.88) 279;14 4.5412 (0.48) 1017 1
benchmark_set[redis-py[py]-16384] 153.0647 (2.18) 452.0416 (2.15) 218.0969 (2.05) 32.6835 (1.78) 213.8615 (2.16) 33.8554 (2.12) 151;60 4.5851 (0.49) 969 1
benchmark_set[redis-py[py]-32768] 192.1654 (2.74) 514.9841 (2.45) 269.2770 (2.53) 44.5870 (2.42) 265.1215 (2.68) 41.9617 (2.63) 288;66 3.7136 (0.39) 1266 1
benchmark_set[redis-py[hi]-32768] 195.9801 (2.80) 535.9650 (2.55) 270.1899 (2.54) 50.2262 (2.73) 258.9226 (2.62) 51.9753 (3.25) 184;67 3.7011 (0.39) 1060 1
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------- benchmark 'redispy-zrange': 12 tests -------------------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS Rounds Iterations
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_zrange[redis-py[hi]----10] 104.1889 (1.0) 423.9082 (1.0) 144.4114 (1.0) 31.3401 (1.0) 134.9449 (1.0) 36.0012 (1.57) 1752;191 6,924.6614 (1.0) 5378 1
benchmark_zrange[redis-py[py]----10] 187.1586 (1.80) 852.8233 (2.01) 231.7231 (1.60) 35.2569 (1.12) 221.0140 (1.64) 22.8882 (1.0) 418;248 4,315.4961 (0.62) 2795 1
benchmark_zrange[redis-py[hi]---256] 274.1814 (2.63) 836.8492 (1.97) 370.2926 (2.56) 70.8048 (2.26) 341.5346 (2.53) 82.9697 (3.62) 293;65 2,700.5674 (0.39) 1556 1
benchmark_zrange[redis-py[hi]--1024] 805.1395 (7.73) 2,321.0049 (5.48) 1,200.2045 (8.31) 306.3958 (9.78) 1,102.9243 (8.17) 380.2776 (16.61) 263;38 833.1914 (0.12) 849 1
benchmark_zrange[redis-py[py]---256] 1,671.0758 (16.04) 4,482.0309 (10.57) 2,357.9265 (16.33) 261.2317 (8.34) 2,350.8072 (17.42) 216.7225 (9.47) 82;23 424.1014 (0.06) 373 1
benchmark_zrange[redis-py[hi]--4096] 3,360.0330 (32.25) 8,625.9842 (20.35) 4,728.2312 (32.74) 1,070.8560 (34.17) 4,432.9166 (32.85) 1,722.2166 (75.24) 62;4 211.4956 (0.03) 205 1
benchmark_zrange[redis-py[py]--1024] 6,922.9603 (66.45) 10,587.9307 (24.98) 7,971.0052 (55.20) 931.2338 (29.71) 7,530.9277 (55.81) 1,299.6793 (56.78) 32;1 125.4547 (0.02) 127 1
benchmark_zrange[redis-py[hi]-16384] 15,517.9501 (148.94) 60,770.9885 (143.36) 17,917.4869 (124.07) 6,006.3317 (191.65) 16,593.4563 (122.96) 695.9438 (30.41) 4;7 55.8114 (0.01) 62 1
benchmark_zrange[redis-py[py]--4096] 29,839.0388 (286.39) 78,242.0635 (184.57) 35,236.5375 (244.00) 8,337.3511 (266.03) 32,651.4244 (241.96) 2,231.4787 (97.49) 1;5 28.3796 (0.00) 32 1
benchmark_zrange[redis-py[hi]-32768] 35,749.9123 (343.13) 45,686.9602 (107.78) 41,002.6189 (283.93) 2,723.5528 (86.90) 41,109.0851 (304.64) 4,509.2702 (197.01) 12;0 24.3887 (0.00) 29 1
benchmark_zrange[redis-py[py]-16384] 123,816.9670 (>1000.0) 130,779.9816 (308.51) 127,966.6159 (886.13) 2,537.5488 (80.97) 128,900.0511 (955.20) 3,746.9864 (163.71) 4;0 7.8145 (0.00) 9 1
benchmark_zrange[redis-py[py]-32768] 246,587.9917 (>1000.0) 264,089.1075 (622.99) 253,873.1575 (>1000.0) 8,223.9940 (262.41) 249,025.8217 (>1000.0) 14,311.7905 (625.29) 1;0 3.9390 (0.00) 5 1
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------- benchmark 'simple-error': 6 tests ------------------------------------------------------------------------------------------------------
Name (time in ns) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_parser_simple_error[hiredis-utf-8] 500.6790 (1.0) 2,908.7067 (1.26) 737.3779 (1.0) 96.8624 (1.0) 715.2557 (1.0) 95.3674 (1.0) 14984;1735 1,356.1568 (1.0) 91181 10
benchmark_parser_simple_error[hiredis-bytes] 596.0464 (1.19) 2,312.6602 (1.0) 738.1641 (1.00) 97.4967 (1.01) 715.2557 (1.0) 95.3674 (1.0) 13516;1498 1,354.7124 (1.00) 76261 10
benchmark_parser_simple_error[redispy[hi]-bytes] 4,768.3716 (9.52) 30,040.7410 (12.99) 7,317.3840 (9.92) 1,230.8435 (12.71) 6,914.1388 (9.67) 953.6743 (10.00) 9170;1943 136.6609 (0.10) 47663 1
benchmark_parser_simple_error[redispy[hi]-utf-8] 4,768.3716 (9.52) 58,889.3890 (25.46) 7,254.4976 (9.84) 1,340.9172 (13.84) 6,914.1388 (9.67) 238.4186 (2.50) 4580;22666 137.8455 (0.10) 58255 1
benchmark_parser_simple_error[redispy[py]-bytes] 7,867.8131 (15.71) 33,140.1825 (14.33) 9,853.9193 (13.36) 1,154.8018 (11.92) 10,013.5803 (14.00) 953.6743 (10.00) 4716;1506 101.4825 (0.07) 29331 1
benchmark_parser_simple_error[redispy[py]-utf-8] 7,867.8131 (15.71) 33,140.1825 (14.33) 9,791.4697 (13.28) 1,136.1000 (11.73) 10,013.5803 (14.00) 953.6743 (10.00) 8259;2087 102.1297 (0.08) 41944 1
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------ benchmark 'simple-string': 6 tests -----------------------------------------------------------------------------------------------------
Name (time in ns) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_parser_simple_string[hiredis-bytes] 405.3116 (1.0) 2,300.7393 (1.0) 557.0831 (1.0) 79.9743 (1.0) 548.3627 (1.0) 0.0000 (1.0) 9519;36349 1,795.0642 (1.0) 83887 20
benchmark_parser_simple_string[hiredis-utf-8] 476.8372 (1.18) 6,020.0691 (2.62) 623.7151 (1.12) 123.4009 (1.54) 625.8488 (1.14) 0.0000 (1.0) 8972;27031 1,603.2960 (0.89) 89241 8
benchmark_parser_simple_string[redispy[hi]-bytes] 3,814.6973 (9.41) 34,093.8568 (14.82) 5,661.8103 (10.16) 1,035.8429 (12.95) 5,960.4645 (10.87) 953.6743 (inf) 4663;2070 176.6220 (0.10) 52429 1
benchmark_parser_simple_string[redispy[hi]-utf-8] 3,814.6973 (9.41) 25,987.6251 (11.30) 5,614.6274 (10.08) 796.4897 (9.96) 5,960.4645 (10.87) 953.6743 (inf) 6074;881 178.1062 (0.10) 66577 1
benchmark_parser_simple_string[redispy[py]-bytes] 5,960.4645 (14.71) 45,061.1115 (19.59) 8,178.9600 (14.68) 1,277.1026 (15.97) 8,106.2317 (14.78) 238.4186 (inf) 1020;3368 122.2649 (0.07) 18158 1
benchmark_parser_simple_string[redispy[py]-utf-8] 6,914.1388 (17.06) 89,168.5486 (38.76) 9,303.2217 (16.70) 1,249.5600 (15.62) 9,059.9060 (16.52) 0.0000 (1.0) 4379;21577 107.4896 (0.06) 47663 1
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
*** PyPy 2.7 v7.1
-------------------------------------------------------------------------------------------------------------- benchmark 'bulk-string': 36 tests ---------------------------------------------------------------------------------------------------------------
Name (time in ns) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_parser_bulk_string[---10-redispy[py]-bytes] 221.4500 (1.0) 36,390.4000 (7.96) 278.7019 (1.0) 320.7840 (3.06) 263.8400 (1.0) 18.0300 (2.06) 306;9621 3,588.0628 (1.0) 44932 100
benchmark_parser_bulk_string[---10-redispy[py]-utf-8] 252.0500 (1.14) 4,572.3500 (1.0) 316.5277 (1.14) 104.7597 (1.0) 303.6500 (1.15) 15.0500 (1.72) 7322;48966 3,159.2816 (0.88) 197629 20
benchmark_parser_bulk_string[--256-redispy[py]-bytes] 349.9333 (1.58) 226,458.9333 (49.53) 455.0302 (1.63) 1,085.9712 (10.37) 421.4000 (1.60) 8.7333 (1.0) 435;45606 2,197.6563 (0.61) 190151 15
benchmark_parser_bulk_string[--256-redispy[py]-utf-8] 596.1000 (2.69) 12,511.6000 (2.74) 739.0901 (2.65) 204.9723 (1.96) 715.2000 (2.71) 13.0000 (1.49) 7458;46866 1,353.0150 (0.38) 160746 10
benchmark_parser_bulk_string[---10-hiredis-bytes] 613.2000 (2.77) 373,976.8000 (81.79) 855.7805 (3.07) 3,274.7237 (31.26) 743.5000 (2.82) 54.1000 (6.19) 469;32772 1,168.5240 (0.33) 161239 10
benchmark_parser_bulk_string[-1024-redispy[py]-bytes] 617.2000 (2.79) 331,360.6000 (72.47) 832.8385 (2.99) 2,355.6293 (22.49) 753.5000 (2.86) 51.1000 (5.85) 266;51955 1,200.7129 (0.33) 161265 10
benchmark_parser_bulk_string[---10-hiredis-utf-8] 722.4000 (3.26) 332,681.4000 (72.76) 973.8461 (3.49) 2,921.9619 (27.89) 872.8000 (3.31) 34.1000 (3.90) 570;35959 1,026.8563 (0.29) 136519 10
benchmark_parser_bulk_string[---10-redispy[hi]-bytes] 1,116.2000 (5.04) 359,477.9000 (78.62) 1,620.0803 (5.81) 3,510.2304 (33.51) 1,424.9000 (5.40) 150.2000 (17.20) 1118;5368 617.2534 (0.17) 88866 10
benchmark_parser_bulk_string[--256-hiredis-bytes] 1,120.0000 (5.06) 14,167,794.5000 (>1000.0) 1,858.4128 (6.67) 50,058.4199 (477.84) 1,394.5000 (5.29) 78.1000 (8.94) 78;15462 538.0936 (0.15) 88488 10
benchmark_parser_bulk_string[---10-redispy[hi]-utf-8] 1,227.1000 (5.54) 334,361.5000 (73.13) 1,693.3790 (6.08) 2,679.0849 (25.57) 1,498.6000 (5.68) 104.2000 (11.93) 1122;18623 590.5352 (0.16) 80678 10
benchmark_parser_bulk_string[--256-hiredis-utf-8] 1,386.5000 (6.26) 323,128.2000 (70.67) 1,802.2295 (6.47) 2,751.0697 (26.26) 1,659.0000 (6.29) 114.1000 (13.06) 556;10188 554.8683 (0.15) 71353 10
benchmark_parser_bulk_string[-1024-redispy[py]-utf-8] 1,724.2000 (7.79) 332,718.2000 (72.77) 2,176.0531 (7.81) 3,069.0942 (29.30) 2,056.9000 (7.80) 149.3000 (17.10) 128;12958 459.5476 (0.13) 57995 10
benchmark_parser_bulk_string[-4096-redispy[py]-bytes] 1,817.4000 (8.21) 361,398.6000 (79.04) 2,934.0788 (10.53) 10,294.6766 (98.27) 2,484.8000 (9.42) 446.9000 (51.17) 264;1466 340.8225 (0.09) 54750 10
benchmark_parser_bulk_string[--256-redispy[hi]-bytes] 1,889.4000 (8.53) 375,770.1000 (82.18) 2,785.3562 (9.99) 7,352.7926 (70.19) 2,324.3000 (8.81) 126.2000 (14.45) 394;10082 359.0205 (0.10) 52704 10
benchmark_parser_bulk_string[--256-redispy[hi]-utf-8] 2,153.0000 (9.72) 321,460.5000 (70.31) 2,883.4546 (10.35) 3,680.0247 (35.13) 2,636.8000 (9.99) 183.5000 (21.01) 1024;8092 346.8062 (0.10) 45937 10
benchmark_parser_bulk_string[-1024-hiredis-bytes] 2,920.5000 (13.19) 1,712,305.5000 (374.49) 4,325.0124 (15.52) 27,877.4604 (266.11) 3,526.5000 (13.37) 220.4999 (25.25) 235;37386 231.2132 (0.06) 171204 2
benchmark_parser_bulk_string[-1024-hiredis-utf-8] 3,937.5000 (17.78) 1,820,391.4999 (398.13) 4,848.4877 (17.40) 8,935.7649 (85.30) 4,664.0000 (17.68) 125.5000 (14.37) 669;40100 206.2499 (0.06) 126343 2
benchmark_parser_bulk_string[-1024-redispy[hi]-bytes] 4,553.5000 (20.56) 1,713,791.9999 (374.82) 6,460.5788 (23.18) 29,694.6251 (283.45) 5,490.4999 (20.81) 241.0000 (27.60) 159;24380 154.7849 (0.04) 109076 2
benchmark_parser_bulk_string[-1024-redispy[hi]-utf-8] 5,590.0000 (25.24) 3,261,633.0000 (713.34) 7,018.3380 (25.18) 14,401.3389 (137.47) 6,653.0001 (25.22) 269.9999 (30.92) 1107;36513 142.4839 (0.04) 178254 1
benchmark_parser_bulk_string[-4096-redispy[py]-utf-8] 7,524.0000 (33.98) 3,241,335.0000 (708.90) 10,022.7484 (35.96) 31,678.6813 (302.39) 9,277.0001 (35.16) 219.9999 (25.19) 91;45161 99.7730 (0.03) 133441 1
benchmark_parser_bulk_string[16384-redispy[py]-bytes] 7,664.9999 (34.61) 4,244,136.0001 (928.22) 26,464.2516 (94.96) 170,161.9813 (>1000.0) 10,539.9999 (39.95) 6,031.9999 (690.69) 1333;17714 37.7868 (0.01) 130805 1
benchmark_parser_bulk_string[-4096-hiredis-bytes] 13,004.9998 (58.73) 3,570,969.0001 (780.99) 18,891.0733 (67.78) 82,228.9082 (784.93) 15,469.0001 (58.63) 983.0005 (112.56) 206;17507 52.9351 (0.01) 79340 1
benchmark_parser_bulk_string[32768-redispy[py]-bytes] 16,531.9998 (74.65) 4,563,260.9999 (998.01) 61,559.7246 (220.88) 259,702.2681 (>1000.0) 30,760.0003 (116.59) 17,893.9995 (>1000.0) 1388;2378 16.2444 (0.00) 61989 1
benchmark_parser_bulk_string[-4096-hiredis-utf-8] 18,073.9999 (81.62) 66,486.9999 (14.54) 21,488.5199 (77.10) 2,182.3605 (20.83) 21,311.0002 (80.77) 190.0007 (21.76) 11145;19338 46.5365 (0.01) 55234 1
benchmark_parser_bulk_string[-4096-redispy[hi]-bytes] 19,297.0001 (87.14) 3,427,011.0000 (749.51) 26,494.5375 (95.06) 86,078.7857 (821.68) 22,863.9997 (86.66) 750.9998 (85.99) 144;12421 37.7436 (0.01) 51688 1
benchmark_parser_bulk_string[-4096-redispy[hi]-utf-8] 24,277.0002 (109.63) 83,840.9997 (18.34) 29,007.9201 (104.08) 2,743.5450 (26.19) 28,794.9997 (109.14) 300.0005 (34.35) 8132;14211 34.4733 (0.01) 41160 1
benchmark_parser_bulk_string[16384-redispy[py]-utf-8] 37,482.9997 (169.26) 3,400,693.0000 (743.75) 61,480.1845 (220.59) 161,005.1151 (>1000.0) 48,244.0000 (182.85) 9,828.9997 (>1000.0) 255;790 16.2654 (0.00) 26432 1
benchmark_parser_bulk_string[16384-hiredis-bytes] 58,996.0000 (266.41) 3,947,656.0000 (863.38) 86,742.7290 (311.24) 172,721.7016 (>1000.0) 71,680.0000 (271.68) 5,330.9996 (610.42) 199;3448 11.5283 (0.00) 17842 1
benchmark_parser_bulk_string[32768-redispy[py]-utf-8] 77,541.9999 (350.16) 3,564,665.9999 (779.61) 141,972.2330 (509.41) 284,203.9100 (>1000.0) 102,450.9997 (388.31) 19,056.9999 (>1000.0) 338;757 7.0436 (0.00) 12830 1
benchmark_parser_bulk_string[16384-hiredis-utf-8] 81,780.0001 (369.29) 177,067.0001 (38.73) 99,515.5336 (357.07) 8,712.4645 (83.17) 97,120.0002 (368.10) 6,221.9997 (712.44) 2206;1826 10.0487 (0.00) 12213 1
benchmark_parser_bulk_string[16384-redispy[hi]-bytes] 86,600.0000 (391.06) 3,544,073.9998 (775.11) 119,595.2966 (429.12) 179,874.9848 (>1000.0) 102,571.0003 (388.76) 6,622.0000 (758.24) 145;2526 8.3615 (0.00) 11919 1
benchmark_parser_bulk_string[16384-redispy[hi]-utf-8] 108,873.0000 (491.64) 265,339.0002 (58.03) 130,975.7633 (469.95) 14,004.4135 (133.68) 128,892.0002 (488.52) 5,299.0003 (606.76) 1841;2008 7.6350 (0.00) 9190 1
benchmark_parser_bulk_string[32768-hiredis-bytes] 122,159.0001 (551.63) 3,835,787.9998 (838.91) 166,303.7566 (596.71) 245,684.3989 (>1000.0) 144,082.0001 (546.10) 22,483.0001 (>1000.0) 158;284 6.0131 (0.00) 8598 1
benchmark_parser_bulk_string[32768-hiredis-utf-8] 165,393.9999 (746.87) 285,689.0001 (62.48) 198,797.6392 (713.30) 14,648.2791 (139.83) 196,278.9997 (743.93) 9,659.0002 (>1000.0) 1127;922 5.0302 (0.00) 6036 1
benchmark_parser_bulk_string[32768-redispy[hi]-bytes] 177,226.0002 (800.30) 3,893,910.0000 (851.62) 241,319.1405 (865.87) 254,734.5125 (>1000.0) 210,397.0000 (797.44) 10,429.9997 (>1000.0) 123;1209 4.1439 (0.00) 5794 1
benchmark_parser_bulk_string[32768-redispy[hi]-utf-8] 221,372.9999 (999.65) 583,320.9998 (127.58) 260,784.7720 (935.71) 20,992.5410 (200.39) 260,549.9999 (987.53) 6,602.9997 (756.07) 935;1371 3.8346 (0.00) 4522 1
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------- benchmark 'bulk-string-fractioned': 6 tests -------------------------------------------------------------------------------------------------------
Name (time in ns) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_parser_bulk_string_fractioned[redispy[py]-bytes] 486.4545 (1.0) 313,225.6364 (1.0) 653.1165 (1.0) 2,161.3570 (1.0) 592.0909 (1.0) 21.0000 (1.0) 363;57446 1,531.1205 (1.0) 187935 11
benchmark_parser_bulk_string_fractioned[redispy[py]-utf-8] 829.6000 (1.71) 351,932.2000 (1.12) 1,086.3940 (1.66) 2,932.7825 (1.36) 1,003.0000 (1.69) 53.1000 (2.53) 148;34279 920.4764 (0.60) 120686 10
benchmark_parser_bulk_string_fractioned[hiredis-bytes] 1,861.8000 (3.83) 358,716.4000 (1.15) 2,840.6378 (4.35) 10,951.8533 (5.07) 2,247.6000 (3.80) 126.5250 (6.03) 241;12418 352.0336 (0.23) 53425 10
benchmark_parser_bulk_string_fractioned[hiredis-utf-8] 2,168.4000 (4.46) 351,366.3000 (1.12) 2,693.6558 (4.12) 3,041.1431 (1.41) 2,567.3000 (4.34) 24.0000 (1.14) 639;16488 371.2427 (0.24) 46073 10
benchmark_parser_bulk_string_fractioned[redispy[hi]-bytes] 3,016.0002 (6.20) 1,695,364.0002 (5.41) 4,389.8650 (6.72) 24,304.1374 (11.24) 3,672.5000 (6.20) 165.4998 (7.88) 273;38644 227.7974 (0.15) 165208 2
benchmark_parser_bulk_string_fractioned[redispy[hi]-utf-8] 3,306.5000 (6.80) 1,502,203.5000 (4.80) 4,252.0412 (6.51) 7,976.7012 (3.69) 3,958.5000 (6.69) 190.5000 (9.07) 1126;33591 235.1812 (0.15) 150535 2
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------- benchmark 'multi-bulk': 36 tests ----------------------------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS Rounds Iterations
------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_parser_multi_bulk[---10-redispy[py]-bytes] 17.1800 (1.0) 3,620.6220 (1.07) 64.0252 (1.01) 285.4451 (1.79) 28.1790 (1.0) 14.2450 (1.94) 1357;2201 15,618.8567 (0.99) 58378 1
benchmark_parser_multi_bulk[--256-redispy[py]-bytes] 38.0210 (2.21) 3,392.8160 (1.0) 63.3738 (1.0) 159.5035 (1.0) 48.3100 (1.71) 8.1050 (1.11) 286;1722 15,779.3882 (1.0) 26337 1
benchmark_parser_multi_bulk[---10-redispy[py]-utf-8] 59.8150 (3.48) 3,584.2310 (1.06) 118.9601 (1.88) 297.3171 (1.86) 78.1280 (2.77) 15.6370 (2.13) 393;915 8,406.1830 (0.53) 16629 1
benchmark_parser_multi_bulk[--256-redispy[py]-utf-8] 61.0240 (3.55) 3,542.2370 (1.04) 89.4055 (1.41) 160.1667 (1.00) 73.1360 (2.60) 8.3650 (1.14) 175;1034 11,184.9995 (0.71) 16318 1
benchmark_parser_multi_bulk[---10-hiredis-bytes] 97.8410 (5.70) 3,630.9590 (1.07) 156.3661 (2.47) 263.0395 (1.65) 117.1250 (4.16) 7.3320 (1.0) 219;2538 6,395.2477 (0.41) 10245 1
benchmark_parser_multi_bulk[--256-hiredis-bytes] 100.6770 (5.86) 207,673.2710 (61.21) 232.4963 (3.67) 3,302.9009 (20.71) 126.7400 (4.50) 14.7275 (2.01) 5;971 4,301.1442 (0.27) 9924 1
benchmark_parser_multi_bulk[-1024-redispy[py]-bytes] 114.9890 (6.69) 3,604.4350 (1.06) 179.7350 (2.84) 223.6718 (1.40) 153.7720 (5.46) 25.3912 (3.46) 214;351 5,563.7460 (0.35) 8757 1
benchmark_parser_multi_bulk[--256-redispy[hi]-bytes] 120.6650 (7.02) 135,353.4630 (39.89) 246.9180 (3.90) 2,402.7867 (15.06) 153.0560 (5.43) 16.9265 (2.31) 21;1011 4,049.9271 (0.26) 8300 1
benchmark_parser_multi_bulk[---10-hiredis-utf-8] 132.0920 (7.69) 9,872.9300 (2.91) 175.8718 (2.78) 196.1066 (1.23) 157.2160 (5.58) 9.7470 (1.33) 104;1926 5,685.9590 (0.36) 7564 1
benchmark_parser_multi_bulk[--256-hiredis-utf-8] 132.3860 (7.71) 344,244.9330 (101.46) 265.2681 (4.19) 3,939.3214 (24.70) 164.6660 (5.84) 25.3070 (3.45) 3;871 3,769.7717 (0.24) 7730 1
benchmark_parser_multi_bulk[---10-redispy[hi]-bytes] 140.2880 (8.17) 3,645.6420 (1.07) 214.5638 (3.39) 307.9978 (1.93) 166.7050 (5.92) 14.3435 (1.96) 172;1976 4,660.6186 (0.30) 7193 1
benchmark_parser_multi_bulk[--256-redispy[hi]-utf-8] 149.7300 (8.72) 220,903.6220 (65.11) 274.3392 (4.33) 2,726.6588 (17.09) 186.4630 (6.62) 26.7300 (3.65) 9;984 3,645.1225 (0.23) 6678 1
benchmark_parser_multi_bulk[-1024-redispy[py]-utf-8] 166.4760 (9.69) 3,807.4520 (1.12) 232.3233 (3.67) 215.3757 (1.35) 210.4900 (7.47) 23.6755 (3.23) 138;268 4,304.3460 (0.27) 6020 1
benchmark_parser_multi_bulk[---10-redispy[hi]-utf-8] 175.0300 (10.19) 9,862.8150 (2.91) 227.3489 (3.59) 216.6365 (1.36) 208.1390 (7.39) 14.5775 (1.99) 46;1503 4,398.5253 (0.28) 5713 1
benchmark_parser_multi_bulk[-1024-hiredis-bytes] 242.0690 (14.09) 217,982.3280 (64.25) 585.2011 (9.23) 4,973.0029 (31.18) 319.9470 (11.35) 60.0332 (8.19) 7;701 1,708.8143 (0.11) 4083 1
benchmark_parser_multi_bulk[-1024-redispy[hi]-bytes] 265.9250 (15.48) 189,576.8980 (55.88) 673.8803 (10.63) 5,216.1728 (32.70) 363.0000 (12.88) 79.4160 (10.83) 9;786 1,483.9432 (0.09) 3713 1
benchmark_parser_multi_bulk[-1024-hiredis-utf-8] 335.2370 (19.51) 244,721.3740 (72.13) 735.1158 (11.60) 6,303.4831 (39.52) 420.0470 (14.91) 301.9647 (41.18) 6;43 1,360.3299 (0.09) 2953 1
benchmark_parser_multi_bulk[-1024-redispy[hi]-utf-8] 356.2380 (20.74) 220,837.7570 (65.09) 751.4743 (11.86) 5,872.4169 (36.82) 461.5675 (16.38) 262.4190 (35.79) 6;64 1,330.7175 (0.08) 2794 1
benchmark_parser_multi_bulk[-4096-redispy[py]-bytes] 402.1600 (23.41) 6,912.4630 (2.04) 621.5839 (9.81) 540.6648 (3.39) 518.4630 (18.40) 70.5698 (9.62) 104;239 1,608.7932 (0.10) 2499 1
benchmark_parser_multi_bulk[-4096-redispy[py]-utf-8] 604.9080 (35.21) 6,999.4630 (2.06) 845.8765 (13.35) 548.6187 (3.44) 751.5490 (26.67) 67.9957 (9.27) 72;205 1,182.2057 (0.07) 1655 1
benchmark_parser_multi_bulk[-4096-hiredis-bytes] 893.2890 (52.00) 251,434.6450 (74.11) 2,109.7635 (33.29) 10,445.1567 (65.49) 1,680.0350 (59.62) 805.8517 (109.91) 6;20 473.9868 (0.03) 1077 1
benchmark_parser_multi_bulk[-4096-redispy[hi]-bytes] 930.5030 (54.16) 242,919.2040 (71.60) 2,114.3090 (33.36) 10,160.4128 (63.70) 1,677.5240 (59.53) 706.3130 (96.33) 6;20 472.9678 (0.03) 1076 1
benchmark_parser_multi_bulk[-4096-hiredis-utf-8] 1,516.7030 (88.28) 223,053.0300 (65.74) 2,459.8472 (38.81) 9,046.7260 (56.72) 1,947.4070 (69.11) 370.2757 (50.50) 3;14 406.5293 (0.03) 605 1
benchmark_parser_multi_bulk[-4096-redispy[hi]-utf-8] 1,543.9590 (89.87) 216,718.7430 (63.88) 2,409.9081 (38.03) 8,585.5096 (53.83) 1,900.2020 (67.43) 227.5420 (31.03) 3;73 414.9536 (0.03) 633 1
benchmark_parser_multi_bulk[16384-redispy[py]-bytes] 1,848.0500 (107.57) 14,178.2010 (4.18) 2,536.8106 (40.03) 1,402.3728 (8.79) 2,217.3230 (78.69) 140.9960 (19.23) 40;73 394.1958 (0.02) 542 1
benchmark_parser_multi_bulk[16384-redispy[py]-utf-8] 2,560.7560 (149.05) 13,674.1820 (4.03) 3,275.6185 (51.69) 1,493.5158 (9.36) 2,986.3745 (105.98) 134.9190 (18.40) 22;50 305.2859 (0.02) 396 1
benchmark_parser_multi_bulk[32768-redispy[py]-bytes] 3,703.0050 (215.54) 14,744.1200 (4.35) 4,941.4966 (77.97) 2,219.0631 (13.91) 4,226.9410 (150.00) 303.5522 (41.40) 30;35 202.3678 (0.01) 267 1
benchmark_parser_multi_bulk[16384-redispy[hi]-bytes] 5,339.0560 (310.77) 250,433.5070 (73.81) 7,947.7641 (125.41) 18,492.0586 (115.94) 6,321.5510 (224.34) 780.2745 (106.42) 1;8 125.8216 (0.01) 175 1
benchmark_parser_multi_bulk[32768-redispy[py]-utf-8] 5,350.0180 (311.41) 21,925.1990 (6.46) 6,652.2377 (104.97) 2,568.3317 (16.10) 5,796.7730 (205.71) 285.4560 (38.93) 20;26 150.3254 (0.01) 189 1
benchmark_parser_multi_bulk[16384-hiredis-bytes] 5,431.1290 (316.13) 167,135.1100 (49.26) 8,691.1483 (137.14) 16,285.8846 (102.10) 6,581.6330 (233.57) 851.3825 (116.12) 4;13 115.0596 (0.01) 191 1
benchmark_parser_multi_bulk[16384-hiredis-utf-8] 6,516.7960 (379.32) 233,050.0780 (68.69) 11,639.9513 (183.67) 25,877.6047 (162.24) 8,233.3970 (292.18) 702.4987 (95.81) 2;22 85.9110 (0.01) 151 1
benchmark_parser_multi_bulk[16384-redispy[hi]-utf-8] 7,039.5960 (409.76) 234,857.5670 (69.22) 10,291.2870 (162.39) 18,922.6597 (118.63) 8,441.0110 (299.55) 1,445.7680 (197.19) 1;6 97.1696 (0.01) 144 1
benchmark_parser_multi_bulk[32768-hiredis-bytes] 11,123.2670 (647.45) 255,113.6180 (75.19) 18,230.6926 (287.67) 30,766.2943 (192.89) 13,094.0120 (464.67) 2,067.2832 (281.95) 2;7 54.8526 (0.00) 89 1
benchmark_parser_multi_bulk[32768-redispy[hi]-bytes] 11,329.4560 (659.46) 274,212.7990 (80.82) 20,002.8597 (315.63) 38,534.4926 (241.59) 13,533.7700 (480.28) 1,161.5980 (158.43) 2;8 49.9929 (0.00) 85 1
benchmark_parser_multi_bulk[32768-hiredis-utf-8] 14,289.3610 (831.74) 260,703.6850 (76.84) 20,707.7057 (326.75) 28,856.0389 (180.91) 16,773.4460 (595.25) 1,070.9710 (146.07) 1;8 48.2912 (0.00) 72 1
benchmark_parser_multi_bulk[32768-redispy[hi]-utf-8] 14,488.0860 (843.31) 276,164.3510 (81.40) 20,896.5316 (329.73) 31,258.0345 (195.97) 17,332.9510 (615.10) 2,062.4148 (281.29) 1;3 47.8548 (0.00) 69 1
------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------------------------- benchmark 'multi-bulk-simple': 6 tests --------------------------------------------------------------------------------------------------------
Name (time in ns) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_parser_multi_bulk_simple[redispy[py]-bytes] 905.8000 (1.0) 15,807.9000 (1.0) 1,145.3125 (1.0) 321.0437 (1.19) 1,112.1000 (1.0) 36.1000 (1.0) 3972;34244 873.1242 (1.0) 109915 10
benchmark_parser_multi_bulk_simple[redispy[py]-utf-8] 1,028.0000 (1.13) 20,235.6000 (1.28) 1,272.7197 (1.11) 269.4682 (1.0) 1,248.4000 (1.12) 42.0000 (1.16) 6810;28130 785.7190 (0.90) 98912 10
benchmark_parser_multi_bulk_simple[hiredis-bytes] 2,409.7000 (2.66) 30,404,380.3000 (>1000.0) 5,849.7067 (5.11) 207,280.7775 (769.22) 3,100.1000 (2.79) 355.7001 (9.85) 13;2042 170.9487 (0.20) 41725 10
benchmark_parser_multi_bulk_simple[hiredis-utf-8] 2,720.0001 (3.00) 190,137,520.0000 (>1000.0) 7,713.8515 (6.74) 633,608.4820 (>1000.0) 3,627.0001 (3.26) 395.4999 (10.96) 46;9265 129.6369 (0.15) 184809 2
benchmark_parser_multi_bulk_simple[redispy[hi]-bytes] 3,076.0000 (3.40) 142,532,387.5001 (>1000.0) 8,315.1083 (7.26) 518,453.6847 (>1000.0) 4,148.5000 (3.73) 456.0002 (12.63) 76;7929 120.2630 (0.14) 155208 2
benchmark_parser_multi_bulk_simple[redispy[hi]-utf-8] 3,406.5001 (3.76) 152,211,925.4998 (>1000.0) 7,501.6205 (6.55) 414,576.0565 (>1000.0) 4,639.5000 (4.17) 516.0000 (14.29) 36;6958 133.3045 (0.15) 143617 2
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------- benchmark 'redispy-get': 12 tests -----------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_get[redis-py[py]----10] 38.4620 (1.0) 5,992.5360 (27.35) 57.5576 (1.0) 68.4745 (4.24) 53.4455 (1.0) 12.0020 (1.22) 55;1217 17.3739 (1.0) 24830 1
benchmark_get[redis-py[py]---256] 43.4110 (1.13) 1,147.2640 (5.24) 67.1960 (1.17) 16.1428 (1.0) 66.1730 (1.24) 16.1067 (1.64) 5852;1071 14.8818 (0.86) 22883 1
benchmark_get[redis-py[hi]---256] 44.1390 (1.15) 73,469.5690 (335.28) 81.0533 (1.41) 492.1130 (30.49) 73.2710 (1.37) 22.2475 (2.26) 15;752 12.3376 (0.71) 22723 1
benchmark_get[redis-py[hi]----10] 45.3400 (1.18) 820.4680 (3.74) 83.1756 (1.45) 24.4237 (1.51) 78.7800 (1.47) 25.4000 (2.58) 4049;536 12.0228 (0.69) 22144 1
benchmark_get[redis-py[py]--4096] 51.7280 (1.34) 219.1270 (1.0) 78.6403 (1.37) 17.0984 (1.06) 74.4620 (1.39) 23.2888 (2.37) 4844;190 12.7161 (0.73) 17013 1
benchmark_get[redis-py[hi]--1024] 53.9420 (1.40) 6,023.2860 (27.49) 99.6211 (1.73) 75.0786 (4.65) 94.9495 (1.78) 27.8630 (2.83) 186;439 10.0380 (0.58) 18112 1
benchmark_get[redis-py[py]--1024] 62.8180 (1.63) 4,765.8230 (21.75) 93.2453 (1.62) 65.4514 (4.05) 88.5170 (1.66) 9.8313 (1.0) 84;2430 10.7244 (0.62) 20333 1
benchmark_get[redis-py[hi]--4096] 73.8710 (1.92) 6,399.6720 (29.21) 129.3824 (2.25) 109.3910 (6.78) 124.0620 (2.32) 36.8100 (3.74) 70;236 7.7290 (0.44) 12830 1
benchmark_get[redis-py[py]-16384] 81.0560 (2.11) 6,208.8660 (28.33) 145.5877 (2.53) 205.7275 (12.74) 125.1100 (2.34) 37.4745 (3.81) 183;533 6.8687 (0.40) 13125 1
benchmark_get[redis-py[py]-32768] 112.0590 (2.91) 5,826.3700 (26.59) 218.1042 (3.79) 333.4473 (20.66) 167.7730 (3.14) 50.8045 (5.17) 227;527 4.5850 (0.26) 8536 1
benchmark_get[redis-py[hi]-16384] 155.2840 (4.04) 4,133.7230 (18.86) 229.4908 (3.99) 188.3163 (11.67) 215.7130 (4.04) 30.9585 (3.15) 69;516 4.3575 (0.25) 6541 1
benchmark_get[redis-py[hi]-32768] 316.5600 (8.23) 5,718.1050 (26.09) 396.1140 (6.88) 276.5623 (17.13) 358.3810 (6.71) 22.4992 (2.29) 74;325 2.5245 (0.15) 3211 1
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------- benchmark 'redispy-hgetall': 12 tests ------------------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS Rounds Iterations
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_hgetall[redis-py[py]----10] 106.0490 (1.0) 5,460.7880 (1.0) 204.5940 (1.0) 334.0109 (1.0) 152.7370 (1.0) 57.9505 (1.66) 225;437 4,887.7285 (1.0) 9159 1
benchmark_hgetall[redis-py[hi]----10] 214.7330 (2.02) 8,020.5760 (1.47) 332.1913 (1.62) 387.7471 (1.16) 277.2630 (1.82) 34.9535 (1.0) 89;524 3,010.3132 (0.62) 4307 1
benchmark_hgetall[redis-py[py]---256] 249.8470 (2.36) 75,536.5610 (13.83) 376.8698 (1.84) 1,246.2407 (3.73) 317.8440 (2.08) 47.5915 (1.36) 32;264 2,653.4364 (0.54) 3853 1
benchmark_hgetall[redis-py[hi]---256] 430.9340 (4.06) 130,663.1680 (23.93) 808.6343 (3.95) 3,700.0048 (11.08) 560.4060 (3.67) 204.8285 (5.86) 8;240 1,236.6530 (0.25) 2239 1
benchmark_hgetall[redis-py[py]--1024] 704.8980 (6.65) 8,143.3110 (1.49) 1,254.0994 (6.13) 683.3560 (2.05) 1,100.5885 (7.21) 364.4670 (10.43) 74;90 797.3850 (0.16) 1300 1
benchmark_hgetall[redis-py[hi]--1024] 1,083.8980 (10.22) 133,457.6710 (24.44) 2,075.2158 (10.14) 5,945.3057 (17.80) 1,666.3890 (10.91) 508.1797 (14.54) 5;41 481.8776 (0.10) 895 1
benchmark_hgetall[redis-py[py]--4096] 3,053.6240 (28.79) 17,312.3200 (3.17) 4,238.6808 (20.72) 1,910.7913 (5.72) 3,610.4140 (23.64) 872.9062 (24.97) 18;23 235.9225 (0.05) 325 1
benchmark_hgetall[redis-py[hi]--4096] 5,577.6500 (52.60) 142,708.9300 (26.13) 8,720.1702 (42.62) 14,030.3543 (42.01) 6,918.1410 (45.29) 569.8550 (16.30) 2;33 114.6767 (0.02) 178 1
benchmark_hgetall[redis-py[py]-16384] 12,725.6190 (120.00) 37,221.7650 (6.82) 21,479.6680 (104.99) 5,699.1541 (17.06) 19,401.0095 (127.02) 5,462.1000 (156.27) 20;6 46.5557 (0.01) 78 1
benchmark_hgetall[redis-py[hi]-16384] 20,526.9550 (193.56) 171,405.7870 (31.39) 34,777.7663 (169.98) 29,027.8948 (86.91) 29,516.3340 (193.25) 8,315.4340 (237.90) 2;2 28.7540 (0.01) 49 1
benchmark_hgetall[redis-py[py]-32768] 28,463.1940 (268.40) 58,675.0700 (10.74) 38,424.4644 (187.81) 8,024.9445 (24.03) 35,861.4400 (234.79) 6,627.6370 (189.61) 12;5 26.0251 (0.01) 38 1
benchmark_hgetall[redis-py[hi]-32768] 43,267.8530 (408.00) 228,065.5110 (41.76) 66,765.6777 (326.33) 45,002.5562 (134.73) 54,339.6880 (355.77) 8,101.9610 (231.79) 2;2 14.9778 (0.00) 25 1
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------ benchmark 'redispy-lrange': 12 tests -----------------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS Rounds Iterations
-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_lrange[redis-py[py]----10] 302.2180 (1.0) 13,294.6260 (2.91) 780.6546 (1.37) 1,373.9558 (2.93) 436.9160 (1.0) 141.8810 (1.08) 140;419 1,280.9763 (0.73) 2972 1
benchmark_lrange[redis-py[py]---256] 362.4180 (1.20) 4,574.3200 (1.0) 570.9604 (1.0) 468.8621 (1.0) 440.2640 (1.01) 152.6960 (1.16) 80;191 1,751.4350 (1.0) 2982 1
benchmark_lrange[redis-py[hi]----10] 519.5960 (1.72) 6,173.9250 (1.35) 781.1044 (1.37) 542.8578 (1.16) 654.5560 (1.50) 131.7650 (1.0) 67;152 1,280.2386 (0.73) 1814 1
benchmark_lrange[redis-py[hi]---256] 660.4280 (2.19) 163,617.5650 (35.77) 1,247.7237 (2.19) 5,691.7704 (12.14) 861.3400 (1.97) 250.4857 (1.90) 4;202 801.4595 (0.46) 1573 1
benchmark_lrange[redis-py[py]--1024] 995.9970 (3.30) 8,430.0010 (1.84) 1,476.2129 (2.59) 887.6800 (1.89) 1,176.2420 (2.69) 268.8060 (2.04) 55;168 677.4090 (0.39) 978 1
benchmark_lrange[redis-py[hi]--1024] 1,654.6480 (5.48) 214,601.1430 (46.91) 3,056.7109 (5.35) 8,492.9740 (18.11) 2,577.8335 (5.90) 806.3140 (6.12) 2;18 327.1490 (0.19) 630 1
benchmark_lrange[redis-py[py]--4096] 3,718.0420 (12.30) 21,376.7740 (4.67) 5,107.8454 (8.95) 2,078.6453 (4.43) 4,088.6825 (9.36) 1,696.0600 (12.87) 18;12 195.7773 (0.11) 274 1
benchmark_lrange[redis-py[hi]--4096] 6,642.7970 (21.98) 253,260.0680 (55.37) 10,784.6267 (18.89) 19,928.0587 (42.50) 9,017.5290 (20.64) 1,473.9215 (11.19) 1;6 92.7246 (0.05) 151 1
benchmark_lrange[redis-py[py]-16384] 12,792.1400 (42.33) 27,199.1860 (5.95) 16,046.3857 (28.10) 2,807.4987 (5.99) 14,923.8040 (34.16) 1,034.8800 (7.85) 10;16 62.3193 (0.04) 70 1
benchmark_lrange[redis-py[hi]-16384] 27,577.3490 (91.25) 44,303.4910 (9.69) 34,736.1760 (60.84) 3,365.1127 (7.18) 34,887.3010 (79.85) 3,585.9930 (27.22) 9;1 28.7884 (0.02) 36 1
benchmark_lrange[redis-py[py]-32768] 28,162.0200 (93.18) 61,650.1530 (13.48) 35,797.9172 (62.70) 8,158.1192 (17.40) 32,018.7430 (73.28) 8,091.5508 (61.41) 4;3 27.9346 (0.02) 39 1
benchmark_lrange[redis-py[hi]-32768] 52,055.9440 (172.25) 355,552.6440 (77.73) 73,427.5362 (128.60) 66,656.1541 (142.17) 59,142.0390 (135.36) 7,602.0675 (57.69) 1;2 13.6189 (0.01) 20 1
-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------- benchmark 'redispy-ping': 2 tests ------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_ping[redis-py[hi]] 41.4640 (1.0) 1,806.1580 (6.48) 73.9095 (1.16) 22.5551 (2.20) 70.4860 (1.13) 24.2040 (1.98) 3785;462 13.5301 (0.86) 23449 1
benchmark_ping[redis-py[py]] 44.6940 (1.08) 278.6940 (1.0) 63.8495 (1.0) 10.2453 (1.0) 62.3780 (1.0) 12.2030 (1.0) 3747;479 15.6618 (1.0) 21517 1
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------ benchmark 'redispy-set': 12 tests ------------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_set[redis-py[py]----10] 41.1170 (1.0) 347.3110 (1.0) 68.1842 (1.0) 16.4957 (1.0) 65.1370 (1.0) 17.8290 (1.0) 6352;794 14.6662 (1.0) 23988 1
benchmark_set[redis-py[py]---256] 43.7420 (1.06) 617.2230 (1.78) 72.3824 (1.06) 17.1082 (1.04) 69.9500 (1.07) 21.4025 (1.20) 7825;276 13.8155 (0.94) 22753 1
benchmark_set[redis-py[hi]---256] 44.2300 (1.08) 1,083.4100 (3.12) 77.8696 (1.14) 22.7989 (1.38) 74.0930 (1.14) 24.3832 (1.37) 3835;490 12.8420 (0.88) 21317 1
benchmark_set[redis-py[py]--1024] 48.3910 (1.18) 712.0500 (2.05) 81.6864 (1.20) 19.1808 (1.16) 80.5965 (1.24) 21.8300 (1.22) 3328;582 12.2419 (0.83) 19184 1
benchmark_set[redis-py[hi]----10] 50.8010 (1.24) 682.5510 (1.97) 80.6622 (1.18) 21.1024 (1.28) 78.8000 (1.21) 21.8590 (1.23) 4665;644 12.3974 (0.85) 21598 1
benchmark_set[redis-py[hi]--1024] 53.3510 (1.30) 1,406.7950 (4.05) 89.6338 (1.31) 24.2130 (1.47) 85.7410 (1.32) 26.9910 (1.51) 3189;486 11.1565 (0.76) 20721 1
benchmark_set[redis-py[py]--4096] 73.5000 (1.79) 361.9090 (1.04) 102.9923 (1.51) 19.6675 (1.19) 98.1970 (1.51) 19.1460 (1.07) 4096;780 9.7095 (0.66) 14991 1
benchmark_set[redis-py[hi]--4096] 73.7600 (1.79) 430.5710 (1.24) 104.0187 (1.53) 21.9236 (1.33) 99.6300 (1.53) 22.1495 (1.24) 3824;588 9.6137 (0.66) 14019 1
benchmark_set[redis-py[py]-16384] 106.6140 (2.59) 230,732.3640 (664.34) 180.3260 (2.64) 2,394.3474 (145.15) 147.5830 (2.27) 50.1610 (2.81) 8;238 5.5455 (0.38) 9307 1
benchmark_set[redis-py[hi]-16384] 108.3120 (2.63) 1,000.6710 (2.88) 143.7760 (2.11) 27.7675 (1.68) 143.2410 (2.20) 32.1623 (1.80) 1814;376 6.9553 (0.47) 10149 1
benchmark_set[redis-py[py]-32768] 159.6030 (3.88) 872.0080 (2.51) 224.4020 (3.29) 50.7269 (3.08) 216.9050 (3.33) 64.1878 (3.60) 1560;165 4.4563 (0.30) 5781 1
benchmark_set[redis-py[hi]-32768] 163.0590 (3.97) 737.9150 (2.12) 207.0233 (3.04) 33.0285 (2.00) 204.1895 (3.13) 36.4410 (2.04) 1299;184 4.8304 (0.33) 6034 1
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------- benchmark 'redispy-zrange': 12 tests ------------------------------------------------------------------------------------------------
Name (time in us) Min Max Mean StdDev Median IQR Outliers OPS Rounds Iterations
---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_zrange[redis-py[py]----10] 63.1280 (1.0) 310.4230 (1.0) 98.7944 (1.0) 16.6174 (1.0) 100.9745 (1.0) 21.2045 (1.0) 5204;253 10,122.0351 (1.0) 17408 1
benchmark_zrange[redis-py[hi]----10] 64.5550 (1.02) 19,059.3950 (61.40) 118.2233 (1.20) 161.4093 (9.71) 105.3380 (1.04) 35.9822 (1.70) 221;547 8,458.5690 (0.84) 15377 1
benchmark_zrange[redis-py[py]---256] 260.0770 (4.12) 4,409.0350 (14.20) 428.3153 (4.34) 192.6737 (11.59) 377.6170 (3.74) 153.1970 (7.22) 211;64 2,334.7287 (0.23) 3332 1
benchmark_zrange[redis-py[hi]---256] 335.3950 (5.31) 16,261.1040 (52.38) 634.8653 (6.43) 420.8898 (25.33) 520.6730 (5.16) 207.3330 (9.78) 196;252 1,575.1373 (0.16) 2378 1
benchmark_zrange[redis-py[py]--1024] 947.9770 (15.02) 7,401.9510 (23.84) 1,599.7846 (16.19) 615.6877 (37.05) 1,374.3015 (13.61) 580.8100 (27.39) 79;18 625.0841 (0.06) 896 1
benchmark_zrange[redis-py[hi]--1024] 1,293.3060 (20.49) 227,083.2200 (731.53) 2,682.1823 (27.15) 8,225.1344 (494.97) 2,350.4970 (23.28) 750.8598 (35.41) 3;21 372.8307 (0.04) 773 1
benchmark_zrange[redis-py[py]--4096] 4,071.5880 (64.50) 16,535.2070 (53.27) 6,374.0356 (64.52) 2,037.3273 (122.60) 5,604.2270 (55.50) 2,059.8395 (97.14) 32;7 156.8865 (0.02) 200 1
benchmark_zrange[redis-py[hi]--4096] 7,258.6270 (114.98) 267,605.4660 (862.07) 11,052.6151 (111.87) 21,989.8071 (>1000.0) 8,383.8450 (83.03) 1,803.9645 (85.07) 1;8 90.4763 (0.01) 139 1
benchmark_zrange[redis-py[py]-16384] 19,268.0340 (305.22) 44,775.9210 (144.24) 27,241.0313 (275.73) 6,329.5497 (380.90) 26,100.5980 (258.49) 9,941.2650 (468.83) 21;0 36.7093 (0.00) 55 1
benchmark_zrange[redis-py[hi]-16384] 31,770.4730 (503.27) 46,568.0850 (150.01) 36,319.1633 (367.62) 3,449.9504 (207.61) 36,021.7515 (356.74) 5,453.7190 (257.20) 12;0 27.5337 (0.00) 34 1
benchmark_zrange[redis-py[py]-32768] 35,029.6060 (554.90) 75,124.1270 (242.01) 50,601.1888 (512.19) 9,403.0627 (565.86) 47,403.8330 (469.46) 10,666.6967 (503.04) 6;2 19.7624 (0.00) 29 1
benchmark_zrange[redis-py[hi]-32768] 53,368.4280 (845.40) 345,836.3350 (>1000.0) 79,418.1107 (803.87) 64,856.7974 (>1000.0) 64,100.7050 (634.82) 8,991.1542 (424.02) 1;2 12.5916 (0.00) 19 1
---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------- benchmark 'simple-error': 6 tests --------------------------------------------------------------------------------------------------------
Name (time in ns) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_parser_simple_error[redispy[py]-utf-8] 299.8824 (1.0) 4,211.1765 (1.0) 369.8723 (1.0) 92.7788 (1.0) 360.5882 (1.0) 13.5294 (1.15) 7479;56167 2,703.6356 (1.0) 195772 17
benchmark_parser_simple_error[redispy[py]-bytes] 300.4706 (1.00) 140,748.7059 (33.42) 379.3600 (1.03) 594.1262 (6.40) 361.7647 (1.00) 11.7647 (1.0) 1416;53480 2,636.0186 (0.97) 195389 17
benchmark_parser_simple_error[hiredis-utf-8] 1,355.3000 (4.52) 1,402,697.9000 (333.09) 2,112.8349 (5.71) 12,281.1348 (132.37) 1,684.9000 (4.67) 128.3001 (10.91) 417;12367 473.2977 (0.18) 72818 10
benchmark_parser_simple_error[hiredis-bytes] 1,357.3000 (4.53) 1,480,147.5000 (351.48) 2,155.5557 (5.83) 13,338.3528 (143.77) 1,707.9000 (4.74) 158.3000 (13.46) 420;6608 463.9175 (0.17) 73785 10
benchmark_parser_simple_error[redispy[hi]-utf-8] 2,013.4000 (6.71) 1,408,867.4000 (334.55) 2,986.2100 (8.07) 12,177.6845 (131.26) 2,470.2000 (6.85) 161.2000 (13.70) 396;11594 334.8726 (0.12) 48606 10
benchmark_parser_simple_error[redispy[hi]-bytes] 2,032.4000 (6.78) 1,401,012.3000 (332.69) 3,105.8997 (8.40) 12,566.5942 (135.45) 2,564.3000 (7.11) 174.3000 (14.82) 386;9068 321.9679 (0.12) 49035 10
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------- benchmark 'simple-string': 6 tests -----------------------------------------------------------------------------------------------------
Name (time in ns) Min Max Mean StdDev Median IQR Outliers OPS (Kops/s) Rounds Iterations
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
benchmark_parser_simple_string[redispy[py]-bytes] 146.0400 (1.0) 35,291.8100 (4.37) 186.8169 (1.0) 271.8589 (4.48) 175.0000 (1.0) 12.1200 (1.0) 194;17304 5,352.8351 (1.0) 68102 100
benchmark_parser_simple_string[redispy[py]-utf-8] 168.4800 (1.15) 8,079.8300 (1.0) 218.4284 (1.17) 60.6337 (1.0) 212.2500 (1.21) 21.9300 (1.81) 2219;4215 4,578.1599 (0.86) 56149 100
benchmark_parser_simple_string[hiredis-bytes] 597.0000 (4.09) 389,063.8000 (48.15) 876.4257 (4.69) 4,354.4424 (71.82) 737.3000 (4.21) 63.1000 (5.21) 459;19530 1,140.9980 (0.21) 166945 10
benchmark_parser_simple_string[hiredis-utf-8] 699.2000 (4.79) 381,568.3000 (47.22) 995.3417 (5.33) 4,216.5971 (69.54) 852.4000 (4.87) 44.1000 (3.64) 565;34321 1,004.6801 (0.19) 140806 10
benchmark_parser_simple_string[redispy[hi]-bytes] 1,085.8000 (7.43) 347,498.7000 (43.01) 1,569.0732 (8.40) 4,631.1006 (76.38) 1,330.2000 (7.60) 84.1000 (6.94) 1080;23154 637.3189 (0.12) 90679 10
benchmark_parser_simple_string[redispy[hi]-utf-8] 1,196.0000 (8.19) 347,719.3000 (43.04) 1,691.8861 (9.06) 4,180.8100 (68.95) 1,463.4000 (8.36) 80.1000 (6.61) 1130;21073 591.0563 (0.11) 82775 10
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment