Skip to content

Instantly share code, notes, and snippets.

@censored--
Created March 31, 2015 14:07
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save censored--/8629ed99d894bf9c1912 to your computer and use it in GitHub Desktop.
Save censored--/8629ed99d894bf9c1912 to your computer and use it in GitHub Desktop.
keyboard controller interface
ibrary IEEE;
use IEEE.std_logic_1164.all;
package types is
type keyboard_in_type is record
input : std_logic_vector (7 downto 0);
command : std_logic_vector (7 downto 0);
end record;
type keyboard_out_type is record
output : std_logic_vector(7 downto 0);
status : std_logic_vector (7 downto 0);
interupt : std_logic;
end record;
component keyboard_ is
port(
clk : in std_logic;
rst : in std_logic;
PS2_CLK : inout std_logic;
PS2_DAT : inout std_logic;
keyboard_in : in keyboard_in_type;
keyboard_out : out keyboard_out_type);
end component;
end package;
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment