Skip to content

Instantly share code, notes, and snippets.

@cmarqu
Last active December 1, 2020 19:56
Show Gist options
  • Save cmarqu/86f7a7588b5053dfae044ee5d7e1223c to your computer and use it in GitHub Desktop.
Save cmarqu/86f7a7588b5053dfae044ee5d7e1223c to your computer and use it in GitHub Desktop.
uvm-python with GHDL on cocotb-gitpod (https://gitpod.io/#https://github.com/cocotb/cocotb)
gitpod ~ $ git clone --branch add-vhdl-duts https://github.com/cmarqu/uvm-python.git
Cloning into 'uvm-python'...
remote: Enumerating objects: 1526, done.
remote: Counting objects: 100% (1526/1526), done.
remote: Compressing objects: 100% (731/731), done.
remote: Total 8925 (delta 867), reused 1244 (delta 681), pack-reused 7399
Receiving objects: 100% (8925/8925), 4.08 MiB | 8.45 MiB/s, done.
Resolving deltas: 100% (5969/5969), done.
gitpod ~ $ cd uvm-python/
gitpod ~/uvm-python $ python -m pip install --user .
Processing /home/gitpod/uvm-python
Requirement already satisfied: cocotb>=1.4.0 in /workspace/cocotb (from uvm-python==0.0.0) (1.5.0.dev0)
Requirement already satisfied: cocotb-coverage>=1.0.0 in /workspace/.pip-modules/lib/python3.8/site-packages (from uvm-python==0.0.0) (1.1.0)
Requirement already satisfied: regex>=2019.11.1 in /workspace/.pip-modules/lib/python3.8/site-packages (from uvm-python==0.0.0) (2020.11.13)
Requirement already satisfied: python-constraint in /workspace/.pip-modules/lib/python3.8/site-packages (from cocotb-coverage>=1.0.0->uvm-python==0.0.0) (1.4.0)
Requirement already satisfied: pyyaml in /home/gitpod/.pyenv/versions/3.8.2/lib/python3.8/site-packages (from cocotb-coverage>=1.0.0->uvm-python==0.0.0) (5.3.1)
Requirement already satisfied: cocotb>=1.4.0 in /workspace/cocotb (from uvm-python==0.0.0) (1.5.0.dev0)
Using legacy 'setup.py install' for uvm-python, since package 'wheel' is not installed.
Installing collected packages: uvm-python
Attempting uninstall: uvm-python
Found existing installation: uvm-python 0.0.0
Uninstalling uvm-python-0.0.0:
Successfully uninstalled uvm-python-0.0.0
Running setup.py install for uvm-python ... done
Successfully installed uvm-python-0.0.0
gitpod ~/uvm-python $ cd test/examples/simple/registers/models/fifo_reg/
gitpod ~/uvm-python/test/examples/simple/registers/models/fifo_reg $ make SIM=ghdl TOPLEVEL_LANG=vhdl
make results.xml
make[1]: Entering directory '/home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg'
mkdir -p sim_build
\
/usr/local/bin/ghdl -i --workdir=sim_build --work=work /home/gitpod/uvm-python/test/examples/simple//common_stub.vhd /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/dut.vhd && \
/usr/local/bin/ghdl -m --workdir=sim_build -Psim_build --work=work dut
MODULE=tb_run TESTCASE= TOPLEVEL=dut TOPLEVEL_LANG=vhdl \
/usr/local/bin/ghdl -r --workdir=sim_build -Psim_build --work=work dut --vpi=/workspace/cocotb/cocotb/libs/libcocotbvpi_ghdl.so
loading VPI module '/workspace/cocotb/cocotb/libs/libcocotbvpi_ghdl.so'
-.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter
-.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered
VPI module loaded!
-.--ns WARNING cocotb.gpi ..b/vpi/VpiCbHdl.cpp:494 in run_callback Unable to get argv and argc from simulator
-.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded!
0.00ns WARNING Could not obtain info about the simulator
0.00ns INFO Running on UNKNOWN version UNKNOWN
0.00ns INFO Running tests with cocotb v1.5.0.dev0 from /workspace/cocotb/cocotb
0.00ns INFO Seeding Python random module with 1606852393
UVM_INFO @ 0.0NS: reporter [UVM/RELNOTES]
----------------------------------------------------------------
uvm-python 0.0.0 (Ported from UVM 1.2)
(C) 2007-2014 Mentor Graphics Corporation
(C) 2007-2014 Cadence Design Systems, Inc.
(C) 2006-2014 Synopsys, Inc.
(C) 2011-2013 Cypress Semiconductor Corp.
(C) 2013-2014 NVIDIA Corporation
(C) 2019-2020 Tuomas Poikela (tpoikela)
----------------------------------------------------------------
*********** IMPORTANT RELEASE NOTES ************
You are using a Python version of the UVM library which
requires cocotb and an HDL simulator.
(Specify +UVM_NO_RELNOTES to turn off this notice)
UVM_INFO @ 0.0NS: reporter [DEFVERB] No verbosity specified on the command line. Using the default: UVM_MEDIUM
0.00ns INFO Found test tb_run.test_reg_fifo
0.00ns INFO Running test 1/1: test_reg_fifo
0.00ns INFO Starting test: "test_reg_fifo"
Description: None
/home/gitpod/uvm-python/src/uvm/base/uvm_globals.py:461: RuntimeWarning: Timer setup with value 0, which might exhibit undefined behavior in some simulators
await Timer(0, "NS")
../../src/ieee/v93/numeric_std-body.vhdl:1254:7:@0ms:(assertion warning): NUMERIC_STD."<": metavalue detected, returning FALSE
UVM_INFO @ 0.0NS: reporter [RNTST] Running test ...
/home/gitpod/uvm-python/src/uvm/base/uvm_globals.py:351: RuntimeWarning: Timer setup with value 0, which might exhibit undefined behavior in some simulators
await Timer(0)
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(75) @ 0.0NS: test.apb.drv [APB_MASTER] apb_master run_phase started
UVM_INFO /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/tb_run.py(81) @ 0.0NS: test [Test] Resetting DUT and Register Model...
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 0.0NS: reporter [PH_READY_TO_END] Phase 'uvm.uvm_sched.pre_reset' (id=93) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 0.0NS: reporter [PH_READY_TO_END] Phase 'uvm.uvm_sched.reset' (id=103) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 0.0NS: reporter [PH_READY_TO_END] Phase 'uvm.uvm_sched.post_reset' (id=113) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 0.0NS: reporter [PH_READY_TO_END] Phase 'uvm.uvm_sched.pre_configure' (id=123) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 0.0NS: reporter [PH_READY_TO_END] Phase 'uvm.uvm_sched.configure' (id=133) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 0.0NS: reporter [PH_READY_TO_END] Phase 'uvm.uvm_sched.post_configure' (id=143) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 0.0NS: reporter [PH_READY_TO_END] Phase 'uvm.uvm_sched.pre_main' (id=153) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 0.0NS: reporter [PH_READY_TO_END] Phase 'uvm.uvm_sched.main' (id=163) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 0.0NS: reporter [PH_READY_TO_END] Phase 'uvm.uvm_sched.post_main' (id=173) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 0.0NS: reporter [PH_READY_TO_END] Phase 'uvm.uvm_sched.pre_shutdown' (id=183) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 0.0NS: reporter [PH_READY_TO_END] Phase 'uvm.uvm_sched.shutdown' (id=193) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 1.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/tb_run.py(91) @ 45.0NS: test [FIFO Example] Initializing FIFO reg of max_val size 8 with set()...
UVM_INFO /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/tb_run.py(103) @ 45.0NS: test [FIFO Example] Updating DUT FIFO reg with mirror using update()...
/home/gitpod/uvm-python/src/uvm/base/uvm_globals.py:469: RuntimeWarning: Timer setup with value 0, which might exhibit undefined behavior in some simulators
await Timer(0, "NS")
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1393) @ 45.0NS: reporter [UVMRegMap] Writing 0x11F43314 at 0x0 via map regmodel.default_map_fifo...
/home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py:152: RuntimeWarning: Timer setup with value 0, which might exhibit undefined behavior in some simulators
await Timer(0, "NS")
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(131) @ 51.0NS: test.apb.drv [APB_MASTER] Doing APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 71.0NS: test.predict [REG_PREDICTOR] write(): Received kind=WRITE addr=0 data=11F43314
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(141) @ 71.0NS: test.apb.drv [APB_MASTER] Finished APB write to addr 0
/home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py:156: RuntimeWarning: Timer setup with value 0, which might exhibit undefined behavior in some simulators
await Timer(0, "NS")
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 81.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1462) @ 81.0NS: reporter [UVMRegMap] Wrote 0x11F43314 at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1393) @ 81.0NS: reporter [UVMRegMap] Writing 0x616793AB at 0x0 via map regmodel.default_map_fifo...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(131) @ 91.0NS: test.apb.drv [APB_MASTER] Doing APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 111.0NS: test.predict [REG_PREDICTOR] write(): Received kind=WRITE addr=0 data=616793AB
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(141) @ 111.0NS: test.apb.drv [APB_MASTER] Finished APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 121.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1462) @ 121.0NS: reporter [UVMRegMap] Wrote 0x616793AB at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1393) @ 121.0NS: reporter [UVMRegMap] Writing 0x7A5D4C97 at 0x0 via map regmodel.default_map_fifo...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(131) @ 131.0NS: test.apb.drv [APB_MASTER] Doing APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 151.0NS: test.predict [REG_PREDICTOR] write(): Received kind=WRITE addr=0 data=7A5D4C97
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(141) @ 151.0NS: test.apb.drv [APB_MASTER] Finished APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 161.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1462) @ 161.0NS: reporter [UVMRegMap] Wrote 0x7A5D4C97 at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1393) @ 161.0NS: reporter [UVMRegMap] Writing 0x1A0D7B9E at 0x0 via map regmodel.default_map_fifo...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(131) @ 171.0NS: test.apb.drv [APB_MASTER] Doing APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 191.0NS: test.predict [REG_PREDICTOR] write(): Received kind=WRITE addr=0 data=1A0D7B9E
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(141) @ 191.0NS: test.apb.drv [APB_MASTER] Finished APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 201.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1462) @ 201.0NS: reporter [UVMRegMap] Wrote 0x1A0D7B9E at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1393) @ 201.0NS: reporter [UVMRegMap] Writing 0x112328E8 at 0x0 via map regmodel.default_map_fifo...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(131) @ 211.0NS: test.apb.drv [APB_MASTER] Doing APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 231.0NS: test.predict [REG_PREDICTOR] write(): Received kind=WRITE addr=0 data=112328E8
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(141) @ 231.0NS: test.apb.drv [APB_MASTER] Finished APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 241.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1462) @ 241.0NS: reporter [UVMRegMap] Wrote 0x112328E8 at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1393) @ 241.0NS: reporter [UVMRegMap] Writing 0x458E49FF at 0x0 via map regmodel.default_map_fifo...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(131) @ 251.0NS: test.apb.drv [APB_MASTER] Doing APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 271.0NS: test.predict [REG_PREDICTOR] write(): Received kind=WRITE addr=0 data=458E49FF
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(141) @ 271.0NS: test.apb.drv [APB_MASTER] Finished APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 281.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1462) @ 281.0NS: reporter [UVMRegMap] Wrote 0x458E49FF at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1393) @ 281.0NS: reporter [UVMRegMap] Writing 0x633D5079 at 0x0 via map regmodel.default_map_fifo...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(131) @ 291.0NS: test.apb.drv [APB_MASTER] Doing APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 311.0NS: test.predict [REG_PREDICTOR] write(): Received kind=WRITE addr=0 data=633D5079
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(141) @ 311.0NS: test.apb.drv [APB_MASTER] Finished APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 321.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1462) @ 321.0NS: reporter [UVMRegMap] Wrote 0x633D5079 at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1393) @ 321.0NS: reporter [UVMRegMap] Writing 0x3600A36F at 0x0 via map regmodel.default_map_fifo...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(131) @ 331.0NS: test.apb.drv [APB_MASTER] Doing APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 351.0NS: test.predict [REG_PREDICTOR] write(): Received kind=WRITE addr=0 data=3600A36F
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(141) @ 351.0NS: test.apb.drv [APB_MASTER] Finished APB write to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 361.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1462) @ 361.0NS: reporter [UVMRegMap] Wrote 0x3600A36F at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/tb_run.py(113) @ 361.0NS: test [FIFO Example] Read back DUT FIFO reg into mirror using read()...
Before starting to read, FIFO contents: ['0x11f43314', '0x616793ab', '0x7a5d4c97', '0x1a0d7b9e', '0x112328e8', '0x458e49ff', '0x633d5079', '0x3600a36f']
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1547) @ 361.0NS: reporter [UVMRegMap] Reading address 'h0 via map "regmodel.default_map_fifo"...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(115) @ 371.0NS: test.apb.drv [APB_MASTER] Doing APB read to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 391.0NS: test.predict [REG_PREDICTOR] write(): Received kind=READ addr=0 data=11F43314
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 401.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1614) @ 401.0NS: reporter [UVMRegMap] Read 0x11F43314 at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/tb_run.py(127) @ 401.0NS: test [FIFO Read OK] Read 0, got data: [301216532]
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1547) @ 401.0NS: reporter [UVMRegMap] Reading address 'h0 via map "regmodel.default_map_fifo"...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(115) @ 411.0NS: test.apb.drv [APB_MASTER] Doing APB read to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 431.0NS: test.predict [REG_PREDICTOR] write(): Received kind=READ addr=0 data=616793AB
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 441.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1614) @ 441.0NS: reporter [UVMRegMap] Read 0x616793AB at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/tb_run.py(127) @ 441.0NS: test [FIFO Read OK] Read 1, got data: [1634177963]
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1547) @ 441.0NS: reporter [UVMRegMap] Reading address 'h0 via map "regmodel.default_map_fifo"...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(115) @ 451.0NS: test.apb.drv [APB_MASTER] Doing APB read to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 471.0NS: test.predict [REG_PREDICTOR] write(): Received kind=READ addr=0 data=7A5D4C97
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 481.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1614) @ 481.0NS: reporter [UVMRegMap] Read 0x7A5D4C97 at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/tb_run.py(127) @ 481.0NS: test [FIFO Read OK] Read 2, got data: [2052934807]
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1547) @ 481.0NS: reporter [UVMRegMap] Reading address 'h0 via map "regmodel.default_map_fifo"...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(115) @ 491.0NS: test.apb.drv [APB_MASTER] Doing APB read to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 511.0NS: test.predict [REG_PREDICTOR] write(): Received kind=READ addr=0 data=1A0D7B9E
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 521.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1614) @ 521.0NS: reporter [UVMRegMap] Read 0x1A0D7B9E at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/tb_run.py(127) @ 521.0NS: test [FIFO Read OK] Read 3, got data: [437091230]
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1547) @ 521.0NS: reporter [UVMRegMap] Reading address 'h0 via map "regmodel.default_map_fifo"...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(115) @ 531.0NS: test.apb.drv [APB_MASTER] Doing APB read to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 551.0NS: test.predict [REG_PREDICTOR] write(): Received kind=READ addr=0 data=112328E8
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 561.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1614) @ 561.0NS: reporter [UVMRegMap] Read 0x112328E8 at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/tb_run.py(127) @ 561.0NS: test [FIFO Read OK] Read 4, got data: [287516904]
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1547) @ 561.0NS: reporter [UVMRegMap] Reading address 'h0 via map "regmodel.default_map_fifo"...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(115) @ 571.0NS: test.apb.drv [APB_MASTER] Doing APB read to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 591.0NS: test.predict [REG_PREDICTOR] write(): Received kind=READ addr=0 data=458E49FF
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 601.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1614) @ 601.0NS: reporter [UVMRegMap] Read 0x458E49FF at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/tb_run.py(127) @ 601.0NS: test [FIFO Read OK] Read 5, got data: [1166952959]
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1547) @ 601.0NS: reporter [UVMRegMap] Reading address 'h0 via map "regmodel.default_map_fifo"...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(115) @ 611.0NS: test.apb.drv [APB_MASTER] Doing APB read to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 631.0NS: test.predict [REG_PREDICTOR] write(): Received kind=READ addr=0 data=633D5079
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 641.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1614) @ 641.0NS: reporter [UVMRegMap] Read 0x633D5079 at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/tb_run.py(127) @ 641.0NS: test [FIFO Read OK] Read 6, got data: [1664962681]
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1547) @ 641.0NS: reporter [UVMRegMap] Reading address 'h0 via map "regmodel.default_map_fifo"...
UVM_INFO /home/gitpod/uvm-python/test/examples/integrated/apb/apb_master.py(115) @ 651.0NS: test.apb.drv [APB_MASTER] Doing APB read to addr 0
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_predictor.py(149) @ 671.0NS: test.predict [REG_PREDICTOR] write(): Received kind=READ addr=0 data=3600A36F
UVM_INFO /home/gitpod/uvm-python/src/uvm/seq/uvm_sequencer.py(97) @ 681.0NS: test.apb.sqr [UVM_SEQUENCER YYY] get_next_item called now
UVM_INFO /home/gitpod/uvm-python/src/uvm/reg/uvm_reg_map.py(1614) @ 681.0NS: reporter [UVMRegMap] Read 0x3600A36F at 0x0 via map regmodel.default_map_fifo: 0...
UVM_INFO /home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg/tb_run.py(127) @ 681.0NS: test [FIFO Read OK] Read 7, got data: [906011503]
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 681.0NS: reporter [PH/TRC/EXE/ALLDROP] Phase 'common.run' (id=67) PHASE EXIT ALL_DROPPED
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 681.0NS: reporter [PH_READY_TO_END] Phase 'common.run' (id=67) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_phase.py(57) @ 681.0NS: reporter [PH_READY_TO_END] Phase 'uvm.uvm_sched.post_shutdown' (id=203) PHASE READY TO END
UVM_INFO /home/gitpod/uvm-python/src/uvm/base/uvm_report_server.py(568) @ 681.0NS: reporter [UVM/REPORT/SERVER]
--- UVM Report Summary ---
Quit count : 0 of 10
** Report counts by severity
UVM_INFO : 119
UVM_WARNING : 0
UVM_ERROR : 0
UVM_FATAL : 0
** Report counts by id
[UVM/RELNOTES] 1
[DEFVERB] 1
[RNTST] 1
[APB_MASTER] 25
[Test] 1
[PH_READY_TO_END] 13
[UVM_SEQUENCER YYY] 17
[FIFO Example] 3
[UVMRegMap] 32
[REG_PREDICTOR] 16
[FIFO Read OK] 8
[PH/TRC/EXE/ALLDROP] 1
UVM_INFO @ 681.0NS: reporter [FINISH] $finish was reached in run_test()
681.00ns INFO Test Passed: test_reg_fifo
681.00ns INFO Passed 1 tests (0 skipped)
681.00ns INFO ******************************************************************************
** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) **
******************************************************************************
** tb_run.test_reg_fifo PASS 681.00 2.69 253.49 **
******************************************************************************
681.00ns INFO *************************************************************************************
** ERRORS : 0 **
*************************************************************************************
** SIM TIME : 681.00 NS **
** REAL TIME : 3.04 S **
** SIM / REAL TIME : 223.82 NS/S **
*************************************************************************************
681.00ns INFO Shutting down...
make[1]: Leaving directory '/home/gitpod/uvm-python/test/examples/simple/registers/models/fifo_reg'
gitpod ~/uvm-python/test/examples/simple/registers/models/fifo_reg $
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment