Skip to content

Instantly share code, notes, and snippets.

@cr1901
Created September 29, 2017 08:51
Show Gist options
  • Save cr1901/2a2a3ef162b2a83238a19b9e0dc832e6 to your computer and use it in GitHub Desktop.
Save cr1901/2a2a3ef162b2a83238a19b9e0dc832e6 to your computer and use it in GitHub Desktop.
Known-to-fail MVCE for arachne-pnr Pullup instantiation
@echo off
rem Autogenerated by Migen
yosys -q -l top.rpt top.ys || exit /b
arachne-pnr -d 1k -P tq144 -p top.pcf top.blif -o top.txt || exit /b
icetime -P tq144 -d hx1k -c 0.0 -t -p top.pcf -r top.tim top.txt || exit /b
icepack top.txt top.bin || exit /b
set_io clk12 21
set_io my_out 44
set_io my_pullup 119
/* Machine-generated using Migen */
module top(
input clk12,
inout my_pullup,
output my_out,
);
SB_IO #(
.PIN_TYPE(1'd0),
.PULLUP(1'd1)
) SB_IO (
.INPUT_CLK(clk12),
.PACKAGE_PIN(my_pullup),
.D_IN_0(my_out)
);
endmodule
read_verilog top.v
synth_ice40 -top top -blif top.blif
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment