Skip to content

Instantly share code, notes, and snippets.

@damontallen
Created July 4, 2013 18:16
Show Gist options
  • Save damontallen/5929466 to your computer and use it in GitHub Desktop.
Save damontallen/5929466 to your computer and use it in GitHub Desktop.
A Crash Course in Python for Scientists (in four parts)
Display the source blob
Display the rendered blob
Raw
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Display the source blob
Display the rendered blob
Raw
{
"metadata": {
"name": "Crash Course v0.5b"
},
"nbformat": 3,
"nbformat_minor": 0,
"worksheets": [
{
"cells": [
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# II. Numpy and Scipy\n",
"\n",
"[Numpy](http://numpy.org) contains core routines for doing fast vector, matrix, and linear algebra-type operations in Python. [Scipy](http://scipy) contains additional routines for optimization, special functions, and so on. Both contain modules written in C and Fortran so that they're as fast as possible. Together, they give Python roughly the same capability that the [Matlab](http://www.mathworks.com/products/matlab/) program offers. (In fact, if you're an experienced Matlab user, there a [guide to Numpy for Matlab users](http://www.scipy.org/NumPy_for_Matlab_Users) just for you.)\n",
"\n",
"## Making vectors and matrices\n",
"Fundamental to both Numpy and Scipy is the ability to work with vectors and matrices. You can create vectors from lists using the **array** command:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"array([1,2,3,4,5,6])"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 89,
"text": [
"array([1, 2, 3, 4, 5, 6])"
]
}
],
"prompt_number": 89
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"You can pass in a second argument to **array** that gives the numeric type. There are a number of types [listed here](http://docs.scipy.org/doc/numpy/user/basics.types.html) that your matrix can be. Some of these are aliased to single character codes. The most common ones are 'd' (double precision floating point number), 'D' (double precision complex number), and 'i' (int32). Thus,"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"array([1,2,3,4,5,6],'d')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 90,
"text": [
"array([ 1., 2., 3., 4., 5., 6.])"
]
}
],
"prompt_number": 90
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"array([1,2,3,4,5,6],'D')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 91,
"text": [
"array([ 1.+0.j, 2.+0.j, 3.+0.j, 4.+0.j, 5.+0.j, 6.+0.j])"
]
}
],
"prompt_number": 91
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"array([1,2,3,4,5,6],'i')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 92,
"text": [
"array([1, 2, 3, 4, 5, 6], dtype=int32)"
]
}
],
"prompt_number": 92
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"To build matrices, you can either use the array command with lists of lists:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"array([[0,1],[1,0]],'d')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 93,
"text": [
"array([[ 0., 1.],\n",
" [ 1., 0.]])"
]
}
],
"prompt_number": 93
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"You can also form empty (zero) matrices of arbitrary shape (including vectors, which Numpy treats as vectors with one row), using the **zeros** command:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"zeros((3,3),'d')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 94,
"text": [
"array([[ 0., 0., 0.],\n",
" [ 0., 0., 0.],\n",
" [ 0., 0., 0.]])"
]
}
],
"prompt_number": 94
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"The first argument is a tuple containing the shape of the matrix, and the second is the data type argument, which follows the same conventions as in the array command. Thus, you can make row vectors:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"zeros(3,'d')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 95,
"text": [
"array([ 0., 0., 0.])"
]
}
],
"prompt_number": 95
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"zeros((1,3),'d')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 96,
"text": [
"array([[ 0., 0., 0.]])"
]
}
],
"prompt_number": 96
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"or column vectors:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"zeros((3,1),'d')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 97,
"text": [
"array([[ 0.],\n",
" [ 0.],\n",
" [ 0.]])"
]
}
],
"prompt_number": 97
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"There's also an **identity** command that behaves as you'd expect:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"identity(4,'d')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 98,
"text": [
"array([[ 1., 0., 0., 0.],\n",
" [ 0., 1., 0., 0.],\n",
" [ 0., 0., 1., 0.],\n",
" [ 0., 0., 0., 1.]])"
]
}
],
"prompt_number": 98
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"as well as a **ones** command."
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Linspace, matrix functions, and plotting\n",
"The **linspace** command makes a linear array of points from a starting to an ending value."
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"linspace(0,1)"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 99,
"text": [
"array([ 0. , 0.02040816, 0.04081633, 0.06122449, 0.08163265,\n",
" 0.10204082, 0.12244898, 0.14285714, 0.16326531, 0.18367347,\n",
" 0.20408163, 0.2244898 , 0.24489796, 0.26530612, 0.28571429,\n",
" 0.30612245, 0.32653061, 0.34693878, 0.36734694, 0.3877551 ,\n",
" 0.40816327, 0.42857143, 0.44897959, 0.46938776, 0.48979592,\n",
" 0.51020408, 0.53061224, 0.55102041, 0.57142857, 0.59183673,\n",
" 0.6122449 , 0.63265306, 0.65306122, 0.67346939, 0.69387755,\n",
" 0.71428571, 0.73469388, 0.75510204, 0.7755102 , 0.79591837,\n",
" 0.81632653, 0.83673469, 0.85714286, 0.87755102, 0.89795918,\n",
" 0.91836735, 0.93877551, 0.95918367, 0.97959184, 1. ])"
]
}
],
"prompt_number": 99
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"If you provide a third argument, it takes that as the number of points in the space. If you don't provide the argument, it gives a length 50 linear space."
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"linspace(0,1,11)"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 100,
"text": [
"array([ 0. , 0.1, 0.2, 0.3, 0.4, 0.5, 0.6, 0.7, 0.8, 0.9, 1. ])"
]
}
],
"prompt_number": 100
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"**linspace** is an easy way to make coordinates for plotting. Functions in the numpy library (all of which are imported into IPython notebook) can act on an entire vector (or even a matrix) of points at once. Thus,"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"x = linspace(0,2*pi)\n",
"sin(x)"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 101,
"text": [
"array([ 0.00000000e+00, 1.27877162e-01, 2.53654584e-01,\n",
" 3.75267005e-01, 4.90717552e-01, 5.98110530e-01,\n",
" 6.95682551e-01, 7.81831482e-01, 8.55142763e-01,\n",
" 9.14412623e-01, 9.58667853e-01, 9.87181783e-01,\n",
" 9.99486216e-01, 9.95379113e-01, 9.74927912e-01,\n",
" 9.38468422e-01, 8.86599306e-01, 8.20172255e-01,\n",
" 7.40277997e-01, 6.48228395e-01, 5.45534901e-01,\n",
" 4.33883739e-01, 3.15108218e-01, 1.91158629e-01,\n",
" 6.40702200e-02, -6.40702200e-02, -1.91158629e-01,\n",
" -3.15108218e-01, -4.33883739e-01, -5.45534901e-01,\n",
" -6.48228395e-01, -7.40277997e-01, -8.20172255e-01,\n",
" -8.86599306e-01, -9.38468422e-01, -9.74927912e-01,\n",
" -9.95379113e-01, -9.99486216e-01, -9.87181783e-01,\n",
" -9.58667853e-01, -9.14412623e-01, -8.55142763e-01,\n",
" -7.81831482e-01, -6.95682551e-01, -5.98110530e-01,\n",
" -4.90717552e-01, -3.75267005e-01, -2.53654584e-01,\n",
" -1.27877162e-01, -2.44929360e-16])"
]
}
],
"prompt_number": 101
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"In conjunction with **matplotlib**, this is a nice way to plot things:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"plot(x,sin(x))"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 102,
"text": [
"[<matplotlib.lines.Line2D at 0x53adad0>]"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAekAAAFtCAYAAAAj75JZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nEGlQvkwCNAAAAABJRU5ErkJggg==\n"
}
],
"prompt_number": 102
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Matrix operations\n",
"Matrix objects act sensibly when multiplied by scalars:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"0.125*identity(3,'d')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 103,
"text": [
"array([[ 0.125, 0. , 0. ],\n",
" [ 0. , 0.125, 0. ],\n",
" [ 0. , 0. , 0.125]])"
]
}
],
"prompt_number": 103
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"as well as when you add two matrices together. (However, the matrices have to be the same shape.)"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"identity(2,'d') + array([[1,1],[1,2]])"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 104,
"text": [
"array([[ 2., 1.],\n",
" [ 1., 3.]])"
]
}
],
"prompt_number": 104
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Something that confuses Matlab users is that the times (*) operator give element-wise multiplication rather than matrix multiplication:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"identity(2)*ones((2,2))"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 105,
"text": [
"array([[ 1., 0.],\n",
" [ 0., 1.]])"
]
}
],
"prompt_number": 105
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"To get matrix multiplication, you need the **dot** command:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"dot(identity(2),ones((2,2)))"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 106,
"text": [
"array([[ 1., 1.],\n",
" [ 1., 1.]])"
]
}
],
"prompt_number": 106
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"**dot** can also do dot products (duh!):"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"v = array([3,4],'d')\n",
"sqrt(dot(v,v))"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 107,
"text": [
"5.0"
]
}
],
"prompt_number": 107
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"as well as matrix-vector products."
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"There are **determinant**, **inverse**, and **transpose** functions that act as you would suppose. Transpose can be abbreviated with \".T\" at the end of a matrix object:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"m = array([[1,2],[3,4]])\n",
"m.T"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 108,
"text": [
"array([[1, 3],\n",
" [2, 4]])"
]
}
],
"prompt_number": 108
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"There's also a **diag()** function that takes a list or a vector and puts it along the diagonal of a square matrix. "
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"diag([1,2,3,4,5])"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 109,
"text": [
"array([[1, 0, 0, 0, 0],\n",
" [0, 2, 0, 0, 0],\n",
" [0, 0, 3, 0, 0],\n",
" [0, 0, 0, 4, 0],\n",
" [0, 0, 0, 0, 5]])"
]
}
],
"prompt_number": 109
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"We'll find this useful later on."
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Matrix Solvers\n",
"You can solve systems of linear equations using the **solve** command:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"A = array([[1,1,1],[0,2,5],[2,5,-1]])\n",
"b = array([6,-4,27])\n",
"solve(A,b)"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 110,
"text": [
"array([ 5., 3., -2.])"
]
}
],
"prompt_number": 110
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"There are a number of routines to compute eigenvalues and eigenvectors\n",
"\n",
"* **eigvals** returns the eigenvalues of a matrix\n",
"* **eigvalsh** returns the eigenvalues of a Hermitian matrix\n",
"* **eig** returns the eigenvalues and eigenvectors of a matrix\n",
"* **eigh** returns the eigenvalues and eigenvectors of a Hermitian matrix."
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"A = array([[13,-4],[-4,7]],'d')\n",
"eigvalsh(A)"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 111,
"text": [
"array([ 5., 15.])"
]
}
],
"prompt_number": 111
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"eigh(A)"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 112,
"text": [
"(array([ 5., 15.]),\n",
" array([[-0.4472136 , -0.89442719],\n",
" [-0.89442719, 0.4472136 ]]))"
]
}
],
"prompt_number": 112
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Example: Finite Differences\n",
"Now that we have these tools in our toolbox, we can start to do some cool stuff with it. Many of the equations we want to solve in Physics involve differential equations. We want to be able to compute the derivative of functions:\n",
"\n",
"$$ y' = \\frac{y(x+h)-y(x)}{h} $$\n",
"\n",
"by *discretizing* the function $y(x)$ on an evenly spaced set of points $x_0, x_1, \\dots, x_n$, yielding $y_0, y_1, \\dots, y_n$. Using the discretization, we can approximate the derivative by\n",
"\n",
"$$ y_i' \\approx \\frac{y_{i+1}-y_{i-1}}{x_{i+1}-x_{i-1}} $$\n",
"\n",
"We can write a derivative function in Python via"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"def nderiv(y,x):\n",
" \"Finite difference derivative of the function f\"\n",
" n = len(y)\n",
" d = zeros(n,'d') # assume double\n",
" # Use centered differences for the interior points, one-sided differences for the ends\n",
" for i in range(1,n-1):\n",
" d[i] = (y[i+1]-y[i])/(x[i+1]-x[i])\n",
" d[0] = (y[1]-y[0])/(x[1]-x[0])\n",
" d[n-1] = (y[n-1]-y[n-2])/(x[n-1]-x[n-2])\n",
" return d"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 113
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Let's see whether this works for our sin example from above:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"x = linspace(0,2*pi)\n",
"dsin = nderiv(sin(x),x)\n",
"plot(x,dsin,label='numerical')\n",
"plot(x,cos(x),label='analytical')\n",
"title(\"Comparison of numerical and analytical derivatives of sin(x)\")\n",
"legend()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 114,
"text": [
"<matplotlib.legend.Legend at 0x53464d0>"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAekAAAF4CAYAAABw9AFxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQgghLJQUaSGEEMJC/T+/jn7zsiBKnwAAAABJRU5ErkJggg==\n"
}
],
"prompt_number": 114
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Pretty close!"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## One-Dimensional Harmonic Oscillator using Finite Difference\n",
"Now that we've convinced ourselves that finite differences aren't a terrible approximation, let's see if we can use this to solve the one-dimensional harmonic oscillator.\n",
"\n",
"We want to solve the time-independent Schrodinger equation\n",
"\n",
"$$ -\\frac{\\hbar^2}{2m}\\frac{\\partial^2\\psi(x)}{\\partial x^2} + V(x)\\psi(x) = E\\psi(x)$$\n",
"\n",
"for $\\psi(x)$ when $V(x)=\\frac{1}{2}m\\omega^2x^2$ is the harmonic oscillator potential. We're going to use the standard trick to transform the differential equation into a matrix equation by multiplying both sides by $\\psi^*(x)$ and integrating over $x$. This yields\n",
"\n",
"$$ -\\frac{\\hbar}{2m}\\int\\psi(x)\\frac{\\partial^2}{\\partial x^2}\\psi(x)dx + \\int\\psi(x)V(x)\\psi(x)dx = E$$\n",
"\n",
"We will again use the finite difference approximation. The finite difference formula for the second derivative is\n",
"\n",
"$$ y'' = \\frac{y_{i+1}-2y_i+y_{i-1}}{x_{i+1}-x_{i-1}} $$\n",
"\n",
"We can think of the first term in the Schrodinger equation as the overlap of the wave function $\\psi(x)$ with the second derivative of the wave function $\\frac{\\partial^2}{\\partial x^2}\\psi(x)$. Given the above expression for the second derivative, we can see if we take the overlap of the states $y_1,\\dots,y_n$ with the second derivative, we will only have three points where the overlap is nonzero, at $y_{i-1}$, $y_i$, and $y_{i+1}$. In matrix form, this leads to the tridiagonal Laplacian matrix, which has -2's along the diagonals, and 1's along the diagonals above and below the main diagonal.\n",
"\n",
"The second term turns leads to a diagonal matrix with $V(x_i)$ on the diagonal elements. Putting all of these pieces together, we get:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"def Laplacian(x):\n",
" h = x[1]-x[0] # assume uniformly spaced points\n",
" n = len(x)\n",
" M = -2*identity(n,'d')\n",
" for i in range(1,n):\n",
" M[i,i-1] = M[i-1,i] = 1\n",
" return M/h**2"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 115
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"x = linspace(-3,3)\n",
"m = 1.0\n",
"ohm = 1.0\n",
"T = (-0.5/m)*Laplacian(x)\n",
"V = 0.5*(ohm**2)*(x**2)\n",
"H = T + diag(V)\n",
"E,U = eigh(H)\n",
"h = x[1]-x[0]\n",
"\n",
"# Plot the Harmonic potential\n",
"plot(x,V,color='k')\n",
"\n",
"for i in range(4):\n",
" # For each of the first few solutions, plot the energy level:\n",
" axhline(y=E[i],color='k',ls=\":\")\n",
" # as well as the eigenfunction, displaced by the energy level so they don't\n",
" # all pile up on each other:\n",
" plot(x,-U[:,i]/sqrt(h)+E[i])\n",
"title(\"Eigenfunctions of the Quantum Harmonic Oscillator\")\n",
"xlabel(\"Displacement (bohr)\")\n",
"ylabel(\"Energy (hartree)\")"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 116,
"text": [
"<matplotlib.text.Text at 0x5695d90>"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAfAAAAGHCAYAAABPiwCfAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQgghjND/AWlZ02lhpEaDAAAAAElFTkSuQmCC\n"
}
],
"prompt_number": 116
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"We've made a couple of hacks here to get the orbitals the way we want them. First, I inserted a -1 factor before the wave functions, to fix the phase of the lowest state. The phase (sign) of a quantum wave function doesn't hold any information, only the square of the wave function does, so this doesn't really change anything. \n",
"\n",
"But the eigenfunctions as we generate them aren't properly normalized. The reason is that finite difference isn't a real basis in the quantum mechanical sense. It's a basis of Dirac \u03b4 functions at each point; we interpret the space betwen the points as being \"filled\" by the wave function, but the finite difference basis only has the solution being at the points themselves. We can fix this by dividing the eigenfunctions of our finite difference Hamiltonian by the square root of the spacing, and this gives properly normalized functions."
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Special Functions\n",
"The solutions to the Harmonic Oscillator are supposed to be Hermite polynomials. The Wikipedia page has the HO states given by\n",
"\n",
"$$\\psi_n(x) = \\frac{1}{\\sqrt{2^n n!}}\n",
"\\left(\\frac{m\\omega}{\\pi\\hbar}\\right)^{1/4}\n",
"\\exp\\left(-\\frac{m\\omega x^2}{2\\hbar}\\right)\n",
"H_n\\left(\\sqrt{\\frac{m\\omega}{\\hbar}}x\\right)$$\n",
"\n",
"Let's see whether they look like those. There are some special functions in the Numpy library, and some more in Scipy. Hermite Polynomials are in Numpy:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"from numpy.polynomial.hermite import Hermite\n",
"def ho_evec(x,n,m,ohm):\n",
" vec = [0]*9\n",
" vec[n] = 1\n",
" Hn = Hermite(vec)\n",
" return (1/sqrt(2**n*factorial(n)))*pow(m*ohm/pi,0.25)*exp(-0.5*m*ohm*x**2)*Hn(x*sqrt(m*ohm))"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 117
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Let's compare the first function to our solution."
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"plot(x,ho_evec(x,0,1,1),label=\"Analytic\")\n",
"plot(x,-U[:,0]/sqrt(h),label=\"Numeric\")\n",
"xlabel('x (bohr)')\n",
"ylabel(r'$\\psi(x)$')\n",
"title(\"Comparison of numeric and analytic solutions to the Harmonic Oscillator\")\n",
"legend()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 118,
"text": [
"<matplotlib.legend.Legend at 0x59b4e90>"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAfYAAAGHCAYAAABClXDYAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRKRGWOxERERqhMVORESkRljsREREauS/En2kZoMNtXcAAAAASUVORK5CYII=\n"
}
],
"prompt_number": 118
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"The agreement is almost exact."
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"We can use the **subplot** command to put multiple comparisons in different panes on a single plot:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"phase_correction = [-1,1,1,-1,-1,1]\n",
"for i in range(6):\n",
" subplot(2,3,i+1)\n",
" plot(x,ho_evec(x,i,1,1),label=\"Analytic\")\n",
" plot(x,phase_correction[i]*U[:,i]/sqrt(h),label=\"Numeric\")"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAekAAAFtCAYAAAAj75JZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nnbSMjIyMjIxE+X/MGrZW1RsyTwAAAABJRU5ErkJggg==\n"
}
],
"prompt_number": 119
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Other than phase errors (which I've corrected with a little hack: can you find it?), the agreement is pretty good, although it gets worse the higher in energy we get, in part because we used only 50 points.\n",
"\n",
"The Scipy module has many more special functions:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"from scipy.special import airy,jn,eval_chebyt,eval_legendre\n",
"subplot(2,2,1)\n",
"x = linspace(-1,1)\n",
"Ai,Aip,Bi,Bip = airy(x)\n",
"plot(x,Ai)\n",
"plot(x,Aip)\n",
"plot(x,Bi)\n",
"plot(x,Bip)\n",
"title(\"Airy functions\")\n",
"\n",
"subplot(2,2,2)\n",
"x = linspace(0,10)\n",
"for i in range(4):\n",
" plot(x,jn(i,x))\n",
"title(\"Bessel functions\")\n",
"\n",
"subplot(2,2,3)\n",
"x = linspace(-1,1)\n",
"for i in range(6):\n",
" plot(x,eval_chebyt(i,x))\n",
"title(\"Chebyshev polynomials of the first kind\")\n",
"\n",
"subplot(2,2,4)\n",
"x = linspace(-1,1)\n",
"for i in range(6):\n",
" plot(x,eval_legendre(i,x))\n",
"title(\"Legendre polynomials\")"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 120,
"text": [
"<matplotlib.text.Text at 0x69dbe10>"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAe4AAAF4CAYAAACSKBoIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n"
}
],
"prompt_number": 120
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"As well as Jacobi, Laguerre, Hermite polynomials, Hypergeometric functions, and many others. There's a full listing at the [Scipy Special Functions Page](http://docs.scipy.org/doc/scipy/reference/special.html)."
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Least squares fitting\n",
"Very often we deal with some data that we want to fit to some sort of expected behavior. Say we have the following:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"raw_data = \"\"\"\\\n",
"3.1905781584582433,0.028208609537968457\n",
"4.346895074946466,0.007160804747670053\n",
"5.374732334047101,0.0046962988461934805\n",
"8.201284796573875,0.0004614473299618756\n",
"10.899357601713055,0.00005038370219939726\n",
"16.295503211991434,4.377451812785309e-7\n",
"21.82012847965739,3.0799922117601088e-9\n",
"32.48394004282656,1.524776208284536e-13\n",
"43.53319057815846,5.5012073588707224e-18\"\"\""
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 121
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"There's a section below on parsing CSV data. We'll steal the parser from that. For an explanation, skip ahead to that section. Otherwise, just assume that this is a way to parse that text into a numpy array that we can plot and do other analyses with."
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"data = []\n",
"for line in raw_data.splitlines():\n",
" words = line.split(',')\n",
" data.append(list(map(float,words)))\n",
"data = array(data)\n"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 122
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"title(\"Raw Data\")\n",
"xlabel(\"Distance\")\n",
"plot(data[:,0],data[:,1],'bo')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 123,
"text": [
"[<matplotlib.lines.Line2D at 0x5a90d50>]"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAfEAAAGECAYAAAAm3RkPAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nBHmzAAAAAElFTkSuQmCC\n"
}
],
"prompt_number": 123
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Since we expect the data to have an exponential decay, we can plot it using a semi-log plot."
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"title(\"Raw Data\")\n",
"xlabel(\"Distance\")\n",
"semilogy(data[:,0],data[:,1],'bo')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 124,
"text": [
"[<matplotlib.lines.Line2D at 0x6501f10>]"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAfAAAAGECAYAAADJH3IxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYII=\n"
}
],
"prompt_number": 124
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"For a pure exponential decay like this, we can fit the log of the data to a straight line. The above plot suggests this is a good approximation. Given a function\n",
"$$ y = Ae^{-ax} $$\n",
"$$ \\log(y) = \\log(A) - ax$$\n",
"Thus, if we fit the log of the data versus x, we should get a straight line with slope $a$, and an intercept that gives the constant $A$.\n",
"\n",
"There's a numpy function called **polyfit** that will fit data to a polynomial form. We'll use this to fit to a straight line (a polynomial of order 1)"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"params = polyfit(data[:,0],log(data[:,1]),1)\n",
"a = params[0]\n",
"A = exp(params[1])"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 125
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Let's see whether this curve fits the data."
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"x = linspace(1,45)\n",
"title(\"Raw Data\")\n",
"xlabel(\"Distance\")\n",
"semilogy(data[:,0],data[:,1],'bo')\n",
"semilogy(x,A*exp(a*x),'b-')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 126,
"text": [
"[<matplotlib.lines.Line2D at 0x5860cd0>]"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAfAAAAGECAYAAADJH3IxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzt3XtcVHX+x/EXgkqKpqaiMdS4YghFQoKWhk6aopnWrrmA\nKeYlq/0JRjfLarPSiq7rpdafaaxSkW27hRuFijVKNynTossviJwWMdvK8lZqIr8/zjKJoOI4zJnL\n+/l4+Mg5wJzP8ZSfvt/5vs83qLa2thYRERHxKS3MLkBEREROnhq4iIiID1IDFxER8UFq4CIiIj5I\nDVxERMQHqYGLiIj4IDVwES9mtVpp06YN7dq1o1u3bkycOJHdu3c36zmvueYaWrduTfv27Wnfvj1x\ncXHMnj37pM5rtVp54403mrFKEVEDF/FiQUFBvPrqq+zZs4ePPvqIsrIy5s6d2+znnDVrFrt37+b7\n778nNzeX9957j4EDB/Lzzz83+T30iAmR5qUGLuIjwsPDGT58OJ9++qnz2EMPPURUVBTt27fn3HPP\n5ZVXXnF+7eyzz+bDDz8E4LnnnqNFixZ8/vnnACxbtozf//73xzxXXfNt1aoViYmJrFq1ih9++IHc\n3FwAKisrGTJkCJ07d6ZLly5MmDCBXbt2ATBx4kT+/e9/M3r0aNq1a8ejjz4KwLhx4+jevTsdOnRg\n8ODBfPbZZ2780xEJPGrgIl6urplu27aNoqIi+vfv7/xaVFQUb731Frt37+aee+5hwoQJfPvttwDY\nbDbsdjsA69evp2fPnqxfv9752mazNbmGsLAwhg0bRklJifPYnXfeyTfffMPnn39OVVUVc+bMASAv\nL4+zzjrLOXNwyy23ADBq1Ci+/PJLvvvuOy644AKuvvpqV/9IRAQ1cBGvVltby5VXXkn79u0566yz\n6NmzJ3fddZfz61dddRXdunUD4I9//CO9evVi48aNAAwePNjZsN966y3uuOMO5+sNGzYwePDgk6ql\ne/fu7Ny5E4CePXsydOhQWrZsSefOncnOzna+97Fcc801tG3blpYtW3LPPffw0UcfsWfPnpOqQUR+\nowYu4sWCgoIoKChg9+7d2O123njjDT744APn11esWEFCQgIdO3akY8eOfPLJJ/zwww8ADBo0iJKS\nEnbs2EFNTQ3jxo3j7bff5uuvv2bXrl3Ex8efVC3V1dWcccYZAHz77bekpaVhsVg4/fTTmThxovO8\njTl8+DC33347UVFRnH766fTo0YOgoCC+//57F/5URATUwEV8xqBBg8jMzGTWrFkAfP3110yfPp0n\nn3ySnTt38uOPP3Leeec5p9yjoqJo06YNCxcuZPDgwc6V7EuWLCE5Ofm45woKCqr3eu/evRQXFzt/\nbvbs2QQHB/PJJ5+wa9cu8vLyOHz48DF//rnnnmPVqlWsW7eOXbt2sXXrVmpra7XQTeQUqIGL+JAb\nb7yR0tJSNm7cyL59+wgKCqJz584cPnyY3NxcPvnkk3rfP3jwYBYtWuScLrfZbPVeN+bIxnrgwAE2\nbdrElVdeyRlnnMHkyZMBo6G3bduW9u3bU11dzSOPPFLvPcLDw6msrHS+3rt3L61bt6ZTp07s27eP\n2bNnu+XPQySQebSB79u3j0mTJjF9+nSef/55T55axC907tyZSZMmkZOTQ2xsLDfffDMXXXQR3bp1\n45NPPuHiiy+u9/2DBw9m7969DBo0qNHXjQkKCuLhhx+mffv2zvMlJSXxzjvvcNpppwFwzz338OGH\nH3L66aczevRoxo4dW2/UfccddzB37lw6duzI448/TkZGBmeffTYRERGcd955XHTRRQ1G6SJycoI8\nuR94Xl4enTp1YtSoUaSlpfHCCy946tQiIiJ+xaMj8OrqaiIjIwEIDg725KlFRET8yik38ClTphAe\nHk5cXFy940VFRfTu3ZtevXqRk5MDgMVioaqqCqDeghcRERE5Oac8hV5SUkJYWBgZGRmUlZUBUFNT\nQ3R0NMXFxURERJCUlER+fj5nn302M2bMIDQ0lOTkZNLT091yESIiIoEm5FTfIDk5GYfDUe9YaWkp\nUVFRWK1WANLS0igoKOD222/nmWeeOe77aWGLiIgEGlfG0s3yGfiRn3WDMXVeXV3d5J+vi7H48q97\n7rnH9Bp0Df5zHf5wDboO7/rlD9fgL9fhqmZp4BpFi4iINK9maeARERHOxWoAVVVVWCyW5jiViIhI\nQGqWBp6YmEhFRQUOh4ODBw+ycuVKxowZ0xyn8lons9OTt/KHawD/uA5/uAbQdXgTf7gG8J/rcMUp\nr0JPT09n/fr1/PDDD3Tt2pX77ruPyZMn8/rrr3PjjTdSU1PD1KlTueOOO5pWUFDQKX0mICIi4ktc\n7XsefRJbU6iBi4hIIHG172kzExERER+kBi4iIuKD1MBFRER8kBq4iIiID/LZBr5hA+zYYXYVIiIi\n5vDZBv7ee3DBBVBUZHYlIiIinufTMTK7HSZOhNRUeOABaNWqeWsTERFxt4CMkdlssHkzVFTAgAHG\nP0VERAKBTzdwgM6d4ZVXYPJko4nn5ZldkYiISPPz6Sn0o338MaSlQd++8NRT0K6dm4sTERFxs4Cc\nQj/a+efD++/DaadBQgJ88IHZFYmIiDQPv2rgAG3bwpIlxqK2yy6DRx+Fw4fNrkpERMS9/GoK/WgO\nB4wfb0ylL18O3bq55W1FRETcRlPojbBajQe+9OtnZMZXrza7IhEREffw6xH4kd58EzIyjEVu8+Yp\nMy4iIt5BI/ATuOQSIzP+xRcwcCB8+aXZFYmIiLguYBo4GJnxggJjJH7RRfDss2ZXJCIi4pqAmUI/\n2kcfGdPpSUnw5JPKjIuIiDk0hX6S+vQxcuKtWhkL3JQZFxERXxKwI/AjvfgiTJ9+kPDwdXTrVkpo\n6K9kZQ1n1KhBHq1DREQCj6t9L6QZavE5bdtu4PTTN1Jefivl5SMBqKy8E0BNXEREvFLATqEfacGC\nNfz737fWO1ZZOY+FC9eaVJGIiMjxqYEDBw40PhHx2WcjOHjQw8WIiIg0gRo40Lr1oUaP799/hjLj\nIiLildTAgays4fTseWe9Yz17zuaZZ/7DpEnKjIuIiPfx6Cr0goICCgsL2b17N1OnTmXYsGENCzJh\nFTpAYeEGFi5cy/79wYSG1pCZOcy5gK0uM96vHyxapMy4iIi4j6t9z5QY2U8//cQtt9zC0qVLGxZk\nUgM/kX374MYbwW6HF16Avn3NrkhERPyBTz3IZe7cucyYMcOMU7usbVt4+mmYOxdGjoTHHtM+4yIi\nYh6XGviUKVMIDw8nLi6u3vGioiJ69+5Nr169yMnJASAvL4/s7Gy2b99ObW0ts2bNYuTIkcTHx596\n9SZITYWNG+Gll2DUKPj2W7MrEhGRQOTSFHpJSQlhYWFkZGRQVlYGQE1NDdHR0RQXFxMREUFSUhL5\n+fnExMQ4f27BggWsWLGCpKQk4uPjue666xoW5KVT6Ef79VeYMwdyc+Fvf4Phw82uSEREfJFHn8SW\nnJyMw+God6y0tJSoqCisVisAaWlpFBQU1GvgWVlZZGVlnfD9bTYbVqsVq9WKzWbDZrO5UmazKSzc\nwIIFazhwIIQzzzyL8eOvZsqU1sydq33GRUTk+Ox2O3a7HYfD0aCXngy3PUq1urqayMhI52uLxcLG\njRtdei+73e6mqtyvsHADM2euprJynvOY1TqP9euv5+KLzyA/H3r2NLFAERHxakcPTIOCglx6H7ct\nYnO1AF+zYMGaes0bwOG4kw4d/sLEiXDhhfDccyYVJyIiAcNtI/CIiAiqqqqcr6uqqrBYLO56e69x\nrMeuHjgQTGYmJCcbmfE1a+Dyy99m6dLXOXAghNatD2mHMxERcRu3NfDExEQqKipwOByceeaZrFy5\nkvz8fHe9vdc41mNXQ0NrAIiPh02b4A9/+Iarr47m118HOr9HO5yJiIi7uDSFnp6ezoABAygvLycy\nMpLc3FxCQkJYtGgRKSkpxMbGkpqaWm8Bm7841mNXMzN/e6pc27YAT/Lrr53rfZ92OBMREXdxaQR+\nrJH1yJEjGTly5CkV5O3qRs8LF959xGNXRzQYVR9rqn3//uBmr1FERPyf26bQA8moUYNOOA1+rKn2\nvXutzVCRiIgEGu1G1kwam2rv3n0pDkc6s2YZD4IRERFxlSmbmRyPrzyJrSka2+Gsf/9BTJ4M//kP\nPP+8MuMiIoHOp3YjOx5/auDHUlsLCxfC/ffD/PkwfrzZFYmIiFnUwH3Qli1GZvzCC419xsPCzK5I\nREQ8zae2ExVDXWY8OBguuAA+/NDsikRExFeogZusbVtYtgzuuw9GjIDHH9c+4yIicmKaQvciW7ca\nn4d37GhsUdq1q9kViYhIc9MUuh/o0QM2bDCm1hMSYK0e2iYiIsegEbiXWrcOMjJgwgSYOxdatjS7\nIhERaQ4agfuZoUONVeqffAIXXwxffWV2RSIi4k3UwL1Yly7w6qvG5+L9+4Mfbu4mIiIu0hS6j9i8\n2ciMDxhgPARGmXEREf+gKXQ/l5BgZMaDgpQZFxERNXCfEhYGzzwD994LKSnwxBPGY1lFRCTwaArd\nR331FaSnQ+fOkJtrZMYLCzewYMEaDhwIoXXrQ2RlDT/htqciImIuV/ue9gP3Ub/7Hbz1Fvz5z8b0\n+p/+9DG5uauprJzn/J7KSmM7UzVxERH/oxG4Hyguhssv382BA+0bfC0l5W6Kiu43oSoREWkKLWIL\nYJdeChdcsLjRr+3fH+zhakRExBPUwP1Eu3a7Gz0eGlrj4UpERMQT1MD9RFbWcHr2vLPesXbtPmDa\ntBSTKhIRkeakz8D9SGHhBhYuXMv+/cGEhAQTFHQt//53N154wVjoJiIi3sfVvqcG7ufy82HmTJg9\n2/hnUJDZFYmIyJHUwOWYjsyM/+1vxjPWRUTEO2gVuhxTXWb8/PONvcbXrTO7IhEROVUeb+D79u0j\nKSmJwsJCT586oLVsCQ8+CMuXG/uM33EH/Pqr2VWJiIirPN7AH374YVJTUz19WvmvSy81djb76CPt\nMy4i4ss82sDXrl1LbGwsXfQhrKm6djX2GU9P1z7jIiK+yqUGPmXKFMLDw4mLi6t3vKioiN69e9Or\nVy9ycnIAyMvLIzs7m+3bt7N+/Xree+89nn/+eZ5++mktVjNRixZw442wejXccw9MmQJ795pdlYiI\nNJVLq9BLSkoICwsjIyODsrIyAGpqaoiOjqa4uJiIiAiSkpLIz88nJiamwc8vX76cLl26cNlllzUs\nSKvQPW7vXsjMhHffNUbjyoyLiHiOR3cjS05OxuFw1DtWWlpKVFQUVqsVgLS0NAoKChpt4JMmTTru\n+9tsNqxWK1arFZvNhs1mc6VMaaKwMGNL0uefh+HD4a67ICtLmXERkeZgt9ux2+04HI4GvfRkuG07\n0erqaiIjI52vLRYLGzdudOm97Ha7m6qSkzF+PFx4ofHZ+Nq1RlPXcgUREfc6emAa5OJoyW2L2Fwt\nQLxLXWb8vPOUGRcR8WZuG4FHRERQVVXlfF1VVYXFYnHX24sHtWwJDz1kRM4yMmDSJLj3XuN4ncLC\nDSxYsIYDB0Jo3foQWVnDGTVqkHlFi4gEGLc18MTERCoqKnA4HJx55pmsXLmSfOWTfFpdZvyaayA5\n2Vjg1qOH0bxnzlxNZeU85/dWVho7oamJi4h4hktT6Onp6QwYMIDy8nIiIyPJzc0lJCSERYsWkZKS\nQmxsLKmpqY0uYBPfUpcZT0szMuMvvAALFqyp17wBKivnsXDhWpOqFBEJPNrMRJrsww+NRr5nz2Z2\n7GiYNRs8eA52+xzPFyYi4sO0mYk0uwsuMJp4ixaN/4sWGlrj4YpERAKXGriclLAwWLJkL127vljv\neM+es8nMHGZSVSIigUdT6OKSwsIN5OR8wJYtqYSG7uUvf9nJ+PEXmV2WiIjPcbXvqYHLKTl4EP78\nZ3j2WVixAoYMMbsiERHfogYuplq71oibNZYZFxGRY9MiNjHVsGFGZnzzZhg0CLZuNbsiERH/pgYu\nbtO1KxQWwrhx0K8frFxpdkUiIv5LU+jSLDZtMjLjgwbBggXQtq3ZFYmIeCdNoYtX6dvXyIzX1Bi/\n37LF7IpERPyLGrg0m3bt4G9/g7vvNj4jX7AANLkiIuIemkIXj6isNPYZDw839hnv3NnsikREvIOm\n0MWr9exp7DMeG2vsM/7GG2ZXJCLi2zQCF49bswYmTzZy43PmKDMuIoFND3IRn/Kf/xgPffnpJ3j+\neWOfcRGRQKQpdPEpR2bG+/eHF1888c+IiMhvNAIX023aZCxwGzwY/vIXZcZFJLBoBC4+q29fo4n/\n+iskJsJHH5ldkYiI91MDF69Qlxm/6y649FJYuFCZcRGR49EUunidL780ptS7d4dnnlFmXET8m6bQ\nxW9ERcHbb0Pv3pCQAG++aXZFIiLeRyNw8Wpr1hh58SlT4J57lBkXEf+jHLj4rW+/NTLju3cbmXGr\n1eyKRETcR1Po4rfCw+G112DsWGOfcWXGRUQ0Ahcf88EHxgI3m02ZcRHxDxqBS0BITDT2GT9wQJlx\nEQlsHh2B19bWctddd7Fnzx4SExPJyMhoWJBG4NJEeXlw003w5z/DjBkQFGR2RSIiJ88nRuCvvPIK\n1dXVtGrVCovF4slTix+aOBHefRdWrIArroDvvze7IhERz/FoAy8vL2fgwIE8+uij/PWvf/XkqcVP\n1WXGo6OVGReRwOJSA58yZQrh4eHExcXVO15UVETv3r3p1asXOTk5AOTl5ZGdnc327duxWCx06NDB\nOHELffwu7tGqFTzyCCxdCldfDXffDYcOmV2ViEjzcukz8JKSEsLCwsjIyKCsrAyAmpoaoqOjKS4u\nJiIigqSkJPLz84mJiXH+3C+//EJmZiZt2rQhJiaGG264oWFB+gxcTsGOHUZmfM8eZcZFxDe42vdC\nXDlZcnIyDoej3rHS0lKioqKw/vdvzLS0NAoKCuo18NNOO42lS5e6ckqRJunWDV5/HR5/3MiMP/mk\nsee4iIi/camBN6a6uprIyEjna4vFwsaNG116L5vNhtVqxWq1YrPZsNlsbqpSAkGLFnDLLcb+4unp\nxuNY58+HNm3MrkxEBOx2O3a7HYfD0WAwfDLc1sCD3JjhsdvtbnsvCVxJSbB5M/zpT0Zm/IUX4Pzz\nza5KRALd0QNTV/un21aSRUREUFVV5XxdVVWlqJiYrl07Iy9+xx0wdKgxpa4lFiLiD9zWwBMTE6mo\nqMDhcHDw4EFWrlzJmDFj3PX2Iqdk4kR45x3IzYUrr4Qffmj8+woLN5CSchc22xxSUu6isHCDZwsV\nEWkil6bQ09PTWb9+PT/88AORkZHcd999TJ48mUWLFpGSkkJNTQ1Tp06tt4BNxGy9ehlN/M47IT7e\nGJkfubyisHADM2euprJynvNYZeWdAIwaNcjD1YqIHJ82M5GAtHo1TJ4MU6ca+4yHhEBKyl2sWTO3\nwfempNxNUdH9JlQpIoHAJx6lKuItUlKMTVFKS43V6l9/DQcOND4htX9/sIerExE5MTVwCVh1mfHf\n/95Ysf7jj9GNfl9oaI2HKxMROTE1cAlodZnxwkL47rsradfu/Xpf79lzNpmZw0yqTkTk2PQZuMh/\n7d4NV1zxLe+/H0Tv3v+gc+ftZGYO0wI2EWlWrvY9NXCRo6xYATffDHPmGA+B0T7jItKc1MBF3Kii\nAtLSwGKBZ56BM84wuyIR8VdahS7iRr16wbvvGv+Mjwc93VdEvI1G4CInUFQEU6bAtGnw5z8bmXER\nEXfRFLpIM9qxAzIyYN8+Y5/xs882uyIR8ReaQhdpRt26GSPxK680MuMvvWR2RSIS6DQCFzlJ779v\n7DM+dCg88YT2GReRU6MRuIiHJCUZj2Hdt8/YZ/zjj82uSEQCkRq4iAvat4dnn9U+4yJiHk2hi5yi\nusx4ZCQsW6bMuIicHE2hi5ikLjPes6eRGV+/3uyKRCQQaAQu4kavv25kxq+9VplxEWka5cBFvIQy\n4yJyMjSFLuIl6jLjV1xhrFj/xz/MrkhE/JFG4CLNqLTUyIxfeqky4yLSOI3ARbxQv36weTPs3WuM\nxsvKzK5IRPyFGrhIM6vLjN92GwwZAk89pcy4iJw6TaGLeFB5uZEZP+ssZcZFxKApdBEfcM45v2XG\nExKUGRcR12kELmKS116DqVNh+nS4+25lxkUClXLgIj7om2+MzPj+/fDcc8bUuogEFp+YQt+2bRt/\n+MMfmDp1Kjk5OZ48tYhX6t4dVq+G0aOVGReRk+PRBl5WVsbYsWNZtmwZmzdv9uSpRbxWixbGCvV/\n/cv45/XXw88/m12ViHg7jzbwAQMGsGTJEoYOHcqIESM8eWoRr1eXGd+92/j9J5+YXZGIeDOXGviU\nKVMIDw8nLi6u3vGioiJ69+5Nr169nFPkeXl5ZGdns337dnJzc5k7dy7r1q2jsLDw1KsX8TPt2xuf\nhd96K1xyCfz1r8qMi0jjXFrEVlJSQlhYGBkZGZT999FSNTU1REdHU1xcTEREBElJSeTn5xMTE+P8\nuY8//pj77ruPLl260K5dOx5++OGGBWkRmwjwW2b87LONzHinTmZXJCLNwdW+51JwJTk5GYfDUe9Y\naWkpUVFRWK1WANLS0igoKKjXwM8//3xeeuklV04pEnDqMuN33GHsM/7sszBokNlViYi3cFvytLq6\nmsjISOdri8XCxo0bXXovm82G1WrFarVis9mw2WxuqlLEt7RuDY8/bmyGkpoK110Hd92lzLiIL7Pb\n7djtdhwOR4PB8Mlw218DQUFB7nor7Ha7295LxB9cdhl8+KGRGR8yxBiNKzMu4puOHpi62j/dtgo9\nIiKCqqoq5+uqqiosFou73l4k4NVlxkeNMjLj//yn2RWJiJnc1sATExOpqKjA4XBw8OBBVq5cyZgx\nY9z19iKCkRmfNQtWrTJWqt9wA/zyi9lViYgZXGrg6enpDBgwgPLyciIjI8nNzSUkJIRFixaRkpJC\nbGwsqamp9RawiYj79O9vTKnv2mWMxpUZFwk8eha6iA+rrYXly43R+H33GU9xc+NyFBHxAG1mIhLA\nvvgC0tPBaoWlSxtmxgsLN7BgwRoOHAihdetDZGUNZ9QoZdJEvIFHc+Ai4l2io4+dGS8s3MDMmaup\nrJzn/P7KyjsB1MRFfJhHn4UuIs2nLjO+eLGRGZ8zBw4dggUL1tRr3gCVlfNYuHCtOYWKiFuogYv4\nmbrM+FtvGZnxXbsafwbr/v3BHq5MRNxJDVzED3XvDmvWGJnxzZunN/o9oaE1Hq5KRNxJDVzET9Vl\nxh98sIKQkB/qfa1nz9lkZg4zqTIRcQetQhcJAC+++DYzZ4axe3d34uNXMnt2Hy1gE/ESrvY9jcBF\nAsAf/ziQ7dv78OSTXSkvz6SqapD2GRfxcRqBiwSYL74w9hn/3e/g6ae1z7iI2TQCF5EmiY6G994z\ndjNLSICSErMrEhFXaAQuEsAKC2HaNOMRrHfeqX3GRcygR6mKiEu++QYmToSDB+G55yAy0uyKRAKL\nptBFxCV1mfHLLoPERHj5ZbMrEpGm0AhcRJzeew/Gj4cRI+Cxx+C008yuSMT/aQQuIqfswgth82bY\nuVP7jIt4OzVwEann9NMhPx9uvhkuucTYHEWTYiLeR1PoInJMyoyLND9NoYuI29VlxiMjlRkX8TYa\ngYtIk7z6qpEZv+EGuOsuCNZupCJuoRy4iDS77duNzPihQ/Dss8qMi7iDptBFpNmdeaaRGR8xwsiM\nv/KK2RWJBC6NwEXEJXWZ8ZEj4dFHlRkXcZVG4CLiUXWZ8R9+gH794NNPza5IJLCogYuIy+oy49nZ\nYLPBkiXKjIt4iqbQRcQt/u//jMx4VJSRGe/Y0eyKRHyDptBFxFS9exufi1ssEB8Pb71ldkUi/q1Z\nG/jWrVuZNm0a48aNA2Dfvn1MmjSJ6dOn8/zzzzfnqUXEBKGh8Je/wJNPwlVXwX33QU2N2VWJ+Kdm\nbeA9evRg6dKlztf//Oc/+eMf/8iSJUtYtWpVc55aREx0+eXw4Ydgt8OQIbBtm9kVifgfj06hV1dX\nE/nfJz8E6zFOIn7tzDNh7VojM963rzLjIu7WpAY+ZcoUwsPDiYuLq3e8qKiI3r1706tXL3JycgDI\ny8sjOzub7du3N3gfi8VCVVUVAIcPHz7V2kXEywUHwx13GM07Oxv+53/gl1/MrkrEPzRpFXpJSQlh\nYWFkZGRQVlYGQE1NDdHR0RQXFxMREUFSUhL5+fnExMQ4f27nzp3Mnj2b4uJirr32WjIzM5kxYwah\noaEkJyeTnp7esCCtQhfxSz/9BNddB599Bi+8AOeea3ZFIt7B1b4X0pRvSk5OxuFw1DtWWlpKVFQU\nVqsVgLS0NAoKCuo18E6dOrF48eJ6P/fMM8+c8Hw2mw2r1YrVasVms2Gz2ZpSpoh4sQ4djMb9zDNG\nZnzePLj2WggKMrsyEc+y2+3Y7XYcDkeD3noymtTAG3Pk59lgTI9v3LjR5UKOZLfb3fI+IuJdgoJg\n6lQYONDIjK9Zo8y4BJ6jB6ZBLv5frMuL2Fw9oYhIXWY8IsLYZ/ztt82uSMT3uNzAIyIinAvSAKqq\nqrBYLG4pSkT8X2gozJ8PCxfC2LFw//3KjIucDJcbeGJiIhUVFTgcDg4ePMjKlSsZM2aMO2sTkQAw\nejRs2gRvvAFDhyozLtJUTWrg6enpDBgwgPLyciIjI8nNzSUkJIRFixaRkpJCbGwsqamp9RawiYg0\nVUQEFBfDsGFGZrygwOyKRLyfNjMREa/yzjtw9dUwahQ88oj2GRf/p81MRMQvDBhg7DP+n/9A//5G\nblxEGlIDFxGv06EDrFwJM2fC4MFG1EwTcyL1aQpdRLza558bmfFzzoElS5QZF/+jKXQR8UsxMbBx\nI3TrZmTG33nH7IpEvING4CLiM1atMh6/mplpbJKiTQ3FH7ja99TARcSnVFfDhAnG75991oigifgy\nTaGLSECoy4wPHWpkxletMrsiEXNoBC4iPuvtt43M+OjRRmY8NNTsikROnkbgIhJwBg40MuM7dhiZ\n8c8/N7tgYmmBAAAZ10lEQVQiEc9RAxcRn9axI7z4IsyYAcnJsHSpMuMSGDSFLiJ+47PPjMx4795G\nZrxDB7MrEjkxTaGLSMCLjYXSUggPV2Zc/J9G4CLilwoKYPp0yMqC229XZly8l3LgIiJH2bbNyIwH\nBSkzLt5LU+giIkexWGDdOhgyxMiM/+tfZlck4j4agYtIQKjLjI8ZAw8/rMy4eA+NwEVEjqMuM759\nO1x4Ifzf/5ldkcipUQMXkYDRsSP8/e/wP/9jZMaXLVNmXHyXptBFJCDVZcZjY2HxYmXGxTyaQhcR\nOQmxscY+4507G5nxd981uyKRk6MRuIgEvLrM+MyZMGuWMuPiWcqBi4icgrrMeIsWkJenzLh4jqbQ\nRUROQV1m/JJLjMz4q6+aXZHI8WkELiJylLrM+BVXQE6OMuPSvDQCFxFxk7rMeHW1MuPivZq1gW/d\nupVp06Yxbtw4AAoKCpg+fTppaWmsXbu2OU8tInJK6jLjf/qTMuPinTwyhT5u3Dj+/ve/O1//9NNP\n3HLLLSxdurRhQZpCFxEv8+mnv2XGlyyB0083uyLxJz41hT537lxmzJhhxqlFRE7aueca+4zXZcbf\ne8/sikSa2MCnTJlCeHg4cXFx9Y4XFRXRu3dvevXqRU5ODgB5eXlkZ2ezffv2Bu9TW1vLrFmzGDly\nJPHx8W4oX0TEM047DZ58Eh5/3Fjc9uCDUFNjdlUSyJo0hV5SUkJYWBgZGRmUlZUBUFNTQ3R0NMXF\nxURERJCUlER+fj4xMTHOn9u5cyezZ89m3bp1TJ06lbZt27J8+XKSkpKIj4/nuuuua1iQptBFxMtV\nVRmZ8ZAQIzN+5plmVyS+zNW+F9KUb0pOTsbhcNQ7VlpaSlRUFFarFYC0tDQKCgrqNfBOnTqxePHi\nej+XmZl5wvPZbDasVitWqxWbzYbNZmtKmSIiHhEZCW+8AfPmwQUXwNKlcPnlZlclvsJut2O323E4\nHA1668loUgNvTHV1NZGRkc7XFouFjRs3ulzIkex2u1veR0SkuQQHw5//DEOGGJnxtWuNfcZbtza7\nMvF2Rw9Mg4KCXHoflxexuXpCERF/cvHFRmZ82zYjM/7FF2ZXJIHC5QYeERFBVVWV83VVVRUWi8Ut\nRYmI+JJOneCll+D6642GnpurzLg0P5cbeGJiIhUVFTgcDg4ePMjKlSsZM2aMO2sTEfEZQUFw3XVg\ntxsr1cePh127zK5K/FmTGnh6ejoDBgygvLycyMhIcnNzCQkJYdGiRaSkpBAbG0tqamq9BWwiIoGo\nLjPeqZMy49K8tJmJiEgzefllY1r9xhuNfcZbaPcJaYT2AxcR8UJVVcYq9VatYMUKZcalIZ96lKqI\nSKCIjIQ334RBg4zMeGGh2RWJv9AIXETEQ956yxiN//73xj7jyowLaAQuIuL16jLjVVXKjMupUwMX\nEfEgZcbFXTSFLiJikk8+MfYZP/98+Otf4a23NrBgwRoOHAihdetDZGUNZ9SoQWaXKc2sWTczERER\n9zvvPHj/fbj5ZoiO/oWQkE+prp7r/Hpl5Z0AauLSKI3ARUS8QHz8c3z00dUNjqek3E1R0f0mVCSe\nokVsIiI+rEOHikaP798f7OFKxFeogYuIeIHWrQ81ejw0tMbDlYivUAMXEfECWVnD6dnzznrHQkJ+\nJDT0Og4cMKko8Wr6DFxExEsUFm5g4cK17N8fTGhoDddcM5IXXxzA1q3wwgsQHW12hdIc9Cx0ERE/\nVFsL//u/cPfd8MgjMGmSsXWp+A81cBERP3ZkZnzxYmjf3uyKxF20Cl1ExI/VZcY7dDD2Gd+40eyK\nxGwagYuI+Ji6fcazs+G227TPuK/TFLqISAD597+Nnc1CQ419xrt3N7sicZWm0EVEAshZZxn7jA8c\naOwz/tprZlcknqYRuIiIj9uwASZMgKuuggcf1D7jvkYjcBGRADVoEGzZAg4HXHQRlJebXZF4ghq4\niIgf6NQJ/vEPmD7dmFb/29+0z7i/0xS6iIif+eQTSE2FPn2MfcZPP93siuR4NIUuIiLAb5nx9u2N\nBW7KjPsnjcBFRPzYP/4BN9wAN98Mt96qzLg3Ug5cREQapcy4d/PKKfStW7cybdo0xo0b5zy2b98+\nkpKSKCwsbM5Ti4jIfx2dGX/9dbMrEndo1gbeo0cPli5dWu/Yww8/TGpqanOeVkREjhISAnPmGNuS\nXncd3HQT2mfcx3n005C1a9cSGxtLly5dPHlaERH5r8GDYfNm+OorGDBAmXFf1qQGPmXKFMLDw4mL\ni6t3vKioiN69e9OrVy9ycnIAyMvLIzs7m+3btzd4n/Xr1/Pee+/x/PPP8/TTT+uzbhERE5xxhrEh\nytSpxrT68uXKjPuiJi1iKykpISwsjIyMDMrKygCoqakhOjqa4uJiIiIiSEpKIj8/n5iYGOfP7dy5\nk9mzZ7Nu3TqmTZvGrFmzAFi+fDldunThsssua1iQFrGJiHhMWZmxz3h8vJEZ1z7jnudq3wtpyjcl\nJyfjcDjqHSstLSUqKgqr1QpAWloaBQUF9Rp4p06dWLx4cYP3mzRp0nHPZ7PZsFqtWK1WbDYbNput\nKWWKiMhJioszMuM33WTsM56fD/36mV2Vf7Pb7djtdhwOR4PeejKa1MAbU11dTWRkpPO1xWJho5ue\nFmC3293yPiIicmJt2sDixfDSS3D55XDLLcYvZcabx9ED06CgIJfex+Xb4+oJRUTEO111lTEaX7UK\nRoyAHTvMrkiOx+UGHhERQVVVlfN1VVUVFovFLUWJiIg5zj4b7HZjV7OEBGXGvZnLDTwxMZGKigoc\nDgcHDx5k5cqVjBkzxp21iYiICUJC4N57f8uM33yzMuPeqEkNPD09nQEDBlBeXk5kZCS5ubmEhISw\naNEiUlJSiI2NJTU1td4CNhER8W11mfHKSmXGvZGehS4iIsdVW2tEzO65Bx57DCZOBC2Dch9tZiIi\nIs2qLjOekABPPaXMuLt45WYmIiLiP+oy423bGk28tNTsigKbGriIiDRZmzbwv/8LOTlGZvzhh+Hw\nYbOrCkyaQhcREZd8/bWxz3ibNsY+4926mV2Rb9IUuoiIeFRdZvzCC40p9aIisysKLBqBi4jIKbPb\njdXpqanwwAPQqpXZFfkOjcBFRMQ0Nhts2QJffmlkxisqzK7I/6mBi4iIW9TtMz55stHEV6wwuyL/\npil0ERFxu48/NjLjffvCk08qM348mkIXERGvcf75Rmb8tNPggguM34t7qYGLiEizaNsWliyBBx+E\nUaPgkUeUGXcnTaGLiEiz+/prGD8ewsJg+XJlxo+kKXQREfFaZ58N69dDv37GlPrq1WZX5Ps0AhcR\nEY+qy4ynpcG8ecqMawQuIiI+wWYz9hkvL1dm/FSogYuIiMd17gyvvPJbZjwvz+yKfI+m0EVExFRH\nZsafegratTO7Is/SFLqIiPikusx4aKixwO2DD8yuyDeogYuIiOnatoWnnzY2QrnsMnjsMWXGT0RT\n6CIi4lXqMuPt2hmZ8fBwsytqXppCFxERv1CXGU9KMvYZV2a8cRqBi4iI13rzTcjI8O/MuEbgIiLi\ndy65xMiMf/EFDBxo7DcuBjVwERHxap07Q0EBTJoEF12kzHgdTaGLiIjP+OgjYzo9KcnYZ9wfMuNe\nOYW+detWpk2bxrhx4wA4fPgwd955J1lZWaxYsaI5Ty0iIn6oTx8jJ966tTLjzdrAe/TowdKlS52v\nCwoKqK6uplWrVlgsluY8tYiI+Km6zPi8eYGdGffoZ+Dl5eUMHDiQRx99lL/+9a+ePLWIiPiZP/4R\nSkvhH/8wGvm335pdkWc1qYFPmTKF8PBw4uLi6h0vKiqid+/e9OrVi5ycHADy8vLIzs5m+/btDd7H\nYrHQoUMH48QttH5OREROjdUKGzb8lhlfs8bsijynSYvYSkpKCAsLIyMjg7KyMgBqamqIjo6muLiY\niIgIkpKSyM/PJyYmxvlzO3fuZPbs2RQXF3PttdeSlZVFZmYmbdq0ISYmhhtuuKFhQVrEJiIiLqjL\njKenw9y5vpMZd7XvNXkVusPhYPTo0c4G/u6773LvvfdSVFQEwEMPPQTA7bffftJF1CtIDVxERFz0\n/fcwZQp88w3k50NUlNkVnZirfS/E1RNWV1cTGRnpfG2xWNi4caOrb1ePzWbDarVitVqx2WzYbDa3\nvK+IiPi3usz4okVGZvwvf4Grrza7qvrsdjt2ux2Hw4HD4XD5fVxu4EFBQS6f9ETsdnuzvbeIiPi3\noCDIzIRBg4zM+Jo1RkP3lsz40QNTV/upyyvJIiIiqKqqcr6uqqpSNExERLxGXWa8VSsjM75pk9kV\nuZfLDTwxMZGKigocDgcHDx5k5cqVjBkzxp21iYiInJIjM+MjR/pXZrxJDTw9PZ0BAwZQXl5OZGQk\nubm5hISEsGjRIlJSUoiNjSU1NbXeCnQRERFvUZcZf+klGDXKPzLjeha6iIgEjF9/hTlzIDcX/vY3\nGD7c7Io8ECPzFDVwERFpbm+8YWTGx483PzPulZuZiIiIeKMhQ2DLFvj8c9/dZ1wNXEREAlLnzrBq\nlTESv+gieO45sys6OZpCFxGRgLdli5EZ79/f85lxTaGLiIi4KD7eyIm3bAl9+/pGZlwNXEREBCMz\nvnQp3H8/jBgBjz/u3ZlxTaGLiIgcZetWY4V6hw5G3Cw8vPnOpSl0ERERN+nRw9hn/IILjF9r15pd\nUUMagYuIiBzHunUwaZKxq9n997s/M64RuIiISDMYOhQ2b4ZPP4XkZKisNLsigxq4iIjICXTpAv/6\nlzEKv/BCeP55syvSFLqIiMhJqcuMX3ihkRkPCzu199MUuoiIiAfUZcaDg83dZ1wNXERE5CS1bQvL\nlhmL2kaOhCee8HxmXFPoIiIip2DZso3cfHN3goMPEBf3ErfeOpBRowY1+ee1naiIiIiHFRZuYObM\n1VRWznMe6979GZ5+OqrJTVwNXERExMNSUu5izZq5DY736FHCF18k07Llid9Di9hEREQ87MCBkEaP\n79oVzsUXN29mXA1cRETERa1bH2r0eGJiHuPHN29mXA1cRETERVlZw+nZ8856x3r2nE1W1jBmzoQ1\na+Dee+Gaa2DvXveeW5+Bi4iInILCwg0sXLiW/fuDCQ2tITNzWL0FbHv3wsyZUFICL7xgZMePpEVs\nIiIiXiw/H7KyYPZsuPFGCAoyjquBi4iIeLmvvjL2GT/jDMjNha5dtQpdRETE6/3ud8ZUep8+xlT6\nunWuv5dG4CIiIiao22e8utoLp9C3bt3KvHnz2LVrF3//+9/Ztm0bWVlZdOzYkXPOOYdZs2Y1LEgN\nXEREAsR330HXrl44hd6jRw+WLl3qfF1WVsbYsWNZtmwZmzdvbs5Ti4iIeL0uXVz/WY9+Bj5gwACW\nLFnC0KFDGTFihCdP7XF2u93sEk6ZP1wD+Md1+MM1gK7Dm/jDNYD/XIcrmtTAp0yZQnh4OHFxcfWO\nFxUV0bt3b3r16kVOTg4AeXl5ZGdns3379gbvk5uby9y5c1m3bh2FhYVuKN97+cO/VP5wDeAf1+EP\n1wC6Dm/iD9cA/nMdrmhSA588eTJFRUX1jtXU1DBjxgyKior47LPPyM/P5/PPP2fixIk88cQTnHnm\nmezcuZPrr7+eLVu2kJOTw5AhQ5g/fz433HADPXr0aJYLEhERCQSNP4X9KMnJyTgcjnrHSktLiYqK\nwmq1ApCWlkZBQQExMTHO7+nUqROLFy+u93MvvfTSqVUsIiIiTV+F7nA4GD16NGVlZYDRiFevXs3T\nTz8NwLPPPsvGjRtZuHDhqRVU92gaERGRAOHKKvQmjcAb01yNVhEyERGRE3N5FXpERARVVVXO11VV\nVVgsFrcUJSIiIsfncgNPTEykoqICh8PBwYMHWblyJWPGjHFnbSIiInIMTWrg6enpDBgwgPLyciIj\nI8nNzSUkJIRFixaRkpJCbGwsqamp9RawuaKxWJovslqtnH/++SQkJNCvXz+zy2mSxqKCO3fuZNiw\nYZxzzjkMHz6cn376ycQKm6ax65gzZw4Wi4WEhAQSEhIaJCq8TVVVFZdccgnnnnsu5513HgsWLAB8\n734c6zp86X7s37+f/v37Ex8fT2xsLHfccQfge/fiWNfhS/eiTk1NDQkJCYwePRrwvXtR5+jrcOVe\neM2z0GtqaoiOjqa4uJiIiAiSkpLIz88/5f8pMEOPHj3YtGkTnTp1MruUJispKSEsLIyMjAznQsXb\nbruNzp07c9ttt5GTk8OPP/7IQw89ZHKlx9fYddx77720a9eOm266yeTqmmbHjh3s2LGD+Ph49u7d\nS9++fXnllVfIzc31qftxrOt48cUXfep+/Pzzz7Rp04ZDhw5x8cUX8+ijj7Jq1SqfuhfQ+HWsW7fO\np+4FwOOPP86mTZvYs2cPq1at8sm/p6Dhdbjy95TX7EZ2ZCytZcuWzliar/KS/y9qsuTkZDp27Fjv\n2KpVq5g0aRIAkyZN4pVXXjGjtJPS2HWAb92Pbt26ER8fD0BYWBgxMTFUV1f73P041nWAb92PNm3a\nAHDw4EFqamro2LGjz90LaPw6wLfuxbZt23jttdeYNm2as25fvBeNXUdtbe1J3wuvaeDV1dVERkY6\nX1ssFud/7L4mKCiISy+9lMTERGfMzhd9++23hIeHAxAeHs63335rckWuW7hwIX369GHq1Kk+M8UG\nRnxz8+bN9O/f36fvR911XHjhhYBv3Y/Dhw8THx9PeHi48yMBX7wXjV0H+Na9yM7O5pFHHqFFi99a\nly/ei8auIygo6KTvhdc0cH/Kf7/99tts3ryZ119/nSeffJKSkhKzSzplQUFBPnuPbrjhBrZu3cqW\nLVvo3r07N998s9klNcnevXsZO3Ys8+fPp127dvW+5kv3Y+/evVx11VXMnz+fsLAwn7sfLVq0YMuW\nLWzbto0NGzbw5ptv1vu6r9yLo6/Dbrf71L149dVX6dq1KwkJCcccqfrCvTjWdbhyL7ymgftTLK17\n9+4AdOnShd///veUlpaaXJFrwsPD2bFjBwDffPMNXbt2Nbki13Tt2tX5H/a0adN84n78+uuvjB07\nlokTJ3LllVcCvnk/6q5jwoQJzuvwxfsBcPrppzNq1Cg2bdrkk/eiTt11fPDBBz51L9555x1WrVpF\njx49SE9P54033mDixIk+dy8au46MjAyX7oXXNHB/iaX9/PPP7NmzB4B9+/axZs2aBpvA+IoxY8aw\nfPlyAJYvX+78C9jXfPPNN87fv/zyy15/P2pra5k6dSqxsbHceOONzuO+dj+OdR2+dD++//5751Tm\nL7/8wtq1a0lISPC5e3Gs66hrfOD99+KBBx6gqqqKrVu38sILLzBkyBDy8vJ87l40dh0rVqxw7b+L\nWi/y2muv1Z5zzjm1PXv2rH3ggQfMLsclX331VW2fPn1q+/TpU3vuuef6zHWkpaXVdu/evbZly5a1\nFoul9plnnqn94YcfaocOHVrbq1ev2mHDhtX++OOPZpd5Qkdfx7Jly2onTpxYGxcXV3v++efXXnHF\nFbU7duwwu8zjKikpqQ0KCqrt06dPbXx8fG18fHzt66+/7nP3o7HreO2113zqfnz88ce1CQkJtX36\n9KmNi4urffjhh2tra2t97l4c6zp86V4cyW63144ePbq2ttb37sWR3nzzTed1TJgw4aTvhdfEyERE\nRKTpvGYKXURERJpODVxERMQHqYGLiIj4IDVwERERH6QGLuKDgoODSUhI4LzzziM+Pp7HH3/c+VCI\nTZs2MXPmzGP+7Ndff01+fr6nShWRZqJV6CI+qF27ds7nDXz33XeMHz+egQMHMmfOnBP+rN1u57HH\nHuNf//pXM1cpIs1JI3ARH9elSxeWLFnCokWLAKNB121RuH79euf2hH379mXv3r3cfvvtlJSUkJCQ\nwPz58/n6668ZNGgQffv2pW/fvrz77rvO97HZbIwbN46YmBgmTJjgPOf777/PwIEDiY+Pp3///uzb\nt4+amhpuvfVW+vXrR58+fViyZInn/zBEAkiI2QWIyKnr0aMHNTU1fPfdd/WOP/bYYzz11FNcdNFF\n/Pzzz7Ru3ZqcnBweffRR5wi87slcrVu3pqKigvHjx/P+++8DsGXLFj777DO6d+/OwIEDeeedd0hM\nTCQtLY0XX3zR+T8FoaGhLFu2jA4dOlBaWsqBAwe4+OKLGT58OFar1dN/HCIBQQ1cxI8NHDiQ7Oxs\nrr76av7whz8QERHRYCOIgwcPMmPGDD766COCg4OpqKhwfq1fv36ceeaZAMTHx7N161batWtH9+7d\n6du3L2BsFQqwZs0aysrKeOmllwDYvXs3X375pRq4SDNRAxfxA1999RXBwcF06dKl3vFZs2Zx+eWX\nU1hYyMCBA1m9enWDn33iiSfo3r07eXl51NTUEBoa6vxa69atnb8PDg7m0KFDx93tadGiRQwbNswN\nVyQiJ6LPwEV83Hfffcf1119PZmZmg69VVlZy7rnnctttt5GUlMQXX3xB+/btnQvgwBgpd+vWDYAV\nK1ZQU1NzzHMFBQURHR3NN998wwcffADAnj17qKmpISUlhaeeeopDhw4BUF5ezs8//+zOSxWRI2gE\nLuKDfvnlFxISEvj1118JCQkhIyODm266Cai/J/L8+fN58803adGiBeeddx4jR44kKCiI4OBg4uPj\nmTx5Mn/6058YO3YsK1asYMSIEc4p8br3OlrLli1ZuXIlmZmZ/PLLL7Rp04bi4mKmTZuGw+Hgggsu\noLa2lq5du/Lyyy975g9EJAApRiYiIuKDNIUuIiLig9TARUREfJAauIiIiA9SAxcREfFBauAiIiI+\nSA1cRETEB6mBi4iI+KD/B7zXmga1u3lRAAAAAElFTkSuQmCC\n"
}
],
"prompt_number": 126
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"If we have more complicated functions, we may not be able to get away with fitting to a simple polynomial. Consider the following data:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"gauss_data = \"\"\"\\\n",
"-0.9902286902286903,1.4065274110372852e-19\n",
"-0.7566104566104566,2.2504438576596563e-18\n",
"-0.5117810117810118,1.9459459459459454\n",
"-0.31887271887271884,10.621621621621626\n",
"-0.250997150997151,15.891891891891893\n",
"-0.1463309463309464,23.756756756756754\n",
"-0.07267267267267263,28.135135135135133\n",
"-0.04426734426734419,29.02702702702703\n",
"-0.0015939015939017698,29.675675675675677\n",
"0.04689304689304685,29.10810810810811\n",
"0.0840994840994842,27.324324324324326\n",
"0.1700546700546699,22.216216216216214\n",
"0.370878570878571,7.540540540540545\n",
"0.5338338338338338,1.621621621621618\n",
"0.722014322014322,0.08108108108108068\n",
"0.9926849926849926,-0.08108108108108646\"\"\"\n",
"\n",
"data = []\n",
"for line in gauss_data.splitlines():\n",
" words = line.split(',')\n",
" data.append(list(map(float,words)))\n",
"data = array(data)\n",
"\n",
"plot(data[:,0],data[:,1],'bo')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 127,
"text": [
"[<matplotlib.lines.Line2D at 0x5aa7610>]"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAeQAAAFtCAYAAADWERnpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAGI5JREFUeJzt3X9MVff9x/HXKRiIX51ohAsTF8ytDEVFWqZdMt017aVa\nCsMscTbLSqZdlv0AjclsqjXFpThJ5h/l7o+axjT4TzOXTGp6VwpremHt1pG0url03ZabsSK50Fow\nqXVgwfP9o+kdVy5yuT8/1/N8JCSX6+X4Ti7Xp+e3Zdu2LQAAkFH3ZHoAAABAkAEAMAJBBgDAAAQZ\nAAADEGQAAAxAkAEAMMC8QZ6YmNDWrVu1efNmrV+/Xk899ZQkaWxsTF6vV+Xl5aqtrdW1a9dSPiwA\nAHcrK5bzkG/cuKHFixdrampK3/jGN/TLX/5SFy5c0MqVK3X48GG1t7drfHxcJ0+eTMfMAADcdWLa\nZL148WJJ0s2bNzU9Pa3ly5frwoULampqkiQ1NTWpq6srdVMCAHCXy43lRbdu3dJ9992nYDCoH/3o\nR6qsrNTo6KhcLpckyeVyaXR0dNbPWZaV3GkBADBcvBfAjGkN+Z577tGlS5d05coV9ff364033oj4\nc8uy5oyvbdt8ZenXM888k/EZ+Ir965VX+uR2H5FkS3pGki23+4heeaUv47PxtbAvPnvZ+5WIBR1l\nvWzZMtXV1emdd96Ry+XSyMiIJCkUCqmoqCihQQAkpqOjR8FgW8RzwWCbfL7eDE0EYCHmDfLVq1fD\nR1D/97//VW9vr6qrq9XQ0KDOzk5JUmdnpxobG1M7KYA7mpyMvgdqYiInzZMAiMe8+5BDoZCampp0\n69Yt3bp1S9/73vf04IMPqrq6Wnv27NGZM2dUVlamc+fOpWNepJHH48n0CFiAvLypGd95wo/y86fT\nPgsSw2fPmWI67SnuhVtWwtvUAcTG7+/XgQOvRWy2druP6LnndqqubnsGJwOcI5HuEWQgC/j9/ero\n6NHkZK7y8qbU0lIbNbJ+f798vl5NTOQoP39azc3eOWMc6zIBxC6R7sV02hOAzIm25hsMHpWkWQGt\nq9seU1QXskwA6cG1rAHDpeLoaY7IBsxDkAHDpeLoaY7IBsxDkAHDRR49/T+JHD2dimUCSAxBBgzX\n0lIrt/toxHNu9xE1N3uNWiaAxHCUNZAFFnL0dCaXCTgdpz0BAGCARLrHJmsAAAzAeciAQbhYB+Bc\nBBkwBBfrAJyNTdaAIbhYB+BsBBkwBBfrAJyNIAOG4GIdgLMRZMAQXKwDcDbOQwYMwsU6gOzGhUEA\nADAA90MGkHScEw2kF0EGMAvnRAPpx0FdAGbhnGgg/QgygFk4JxpIP4IMYBbOiQbSjyADmIVzooH0\n47QnAFFxTjSwcJyHDACAARLpHpusAQAwAEEGAMAABBkAAAMQZAAADECQAQAwAEEGAMAABBkAAAMQ\nZAAADECQAQAwAEEGAMAABBkAAAMQZAAADECQAQAwAEEGAMAA8wZ5aGhIO3bsUGVlpTZs2KCOjg5J\nUmtrq0pLS1VdXa3q6mp1d3enfFgAAO5W894PeWRkRCMjI9q8ebOuX7+u+++/X11dXTp37pyWLl2q\nQ4cOzb1w7ocMAHCQRLqXO98LiouLVVxcLElasmSJ1q1bp+HhYUkitgAAJMm8QZ5pcHBQFy9e1AMP\nPKC33npLPp9PZ8+eVU1NjU6dOqWCgoJZP9Pa2hp+7PF45PF4Ep0ZAAAjBAIBBQKBpCxr3k3WX7h+\n/bo8Ho+efvppNTY26sMPP1RhYaEk6dixYwqFQjpz5kzkwtlkDQBwkES6F1OQP/vsMz366KPatWuX\nDh48OOvPBwcHVV9fr8uXLydtMAAAsk0i3Zv3KGvbtrV//36tX78+IsahUCj8+Pz589q4cWNcAwAA\ngBjWkN98801t375dmzZtkmVZkqQTJ07opZde0qVLl2RZltasWaPTp0/L5XJFLpw1ZACAg6R8k3W8\nCDIAwElSuskaAACkHkEGAMAABBkAAAMs6MIgAO7M7+9XR0ePJidzlZc3pZaWWtXVbc/0WACyAEEG\nksTv79eBA68pGGwLPxcMHpUkogxgXmyyBpKko6MnIsaSFAy2yefrzdBEALIJQQaSZHIy+ganiYmc\nNE8CIBsRZCBJ8vKmoj6fnz+d5kkAZCOCDCRJS0ut3O6jEc+53UfU3OzN0EQAsglX6gKSyO/vl8/X\nq4mJHOXnT6u52csBXYCDcOlMAAAMwKUzAQDIcgQZAAADEGQAAAxAkAEAMABBBgDAAAQZAAADcHMJ\nACnHXbCA+RFkACnFXbCA2LDJGkBKcRcsIDYEGUBKcRcsIDYEGUBKcRcsIDYEGUBKcRcsIDbcXAJA\nynEXLDgFd3sCAMAA3O0JAIAsR5ABADAAQQYAwAAEGQAAAxBkAAAMQJABADAAQQYAwAAEGQAAAxBk\nAAAMQJABADAAQQYAwAAEGQAAAxBkAAAMMG+Qh4aGtGPHDlVWVmrDhg3q6OiQJI2Njcnr9aq8vFy1\ntbW6du1ayocFAOBuNe/tF0dGRjQyMqLNmzfr+vXruv/++9XV1aUXX3xRK1eu1OHDh9Xe3q7x8XGd\nPHkycuHcfhEA4CApvf1icXGxNm/eLElasmSJ1q1bp+HhYV24cEFNTU2SpKamJnV1dcU1AAAAiGEN\neabBwUF985vf1N/+9jd95Stf0fj4uCTJtm2tWLEi/H144awhAwAcJJHu5cb6wuvXr+vb3/62nnvu\nOS1dunTWAJZlRf251tbW8GOPxyOPxxPXoAAAmCYQCCgQCCRlWTGtIX/22Wd69NFHtWvXLh08eFCS\nVFFRoUAgoOLiYoVCIe3YsUPvv/9+5MJZQwYAOEhK9yHbtq39+/dr/fr14RhLUkNDgzo7OyVJnZ2d\namxsjGsAAAAQwxrym2++qe3bt2vTpk3hzdK/+MUvtGXLFu3Zs0cffPCBysrKdO7cORUUFEQunDVk\nAICDJNK9BR3UteCFE2QAgIOkdJM1AABIPYIMAIABCDIAAAYgyAAAGIAgAwBgAIIMAIABCDIAAAYg\nyAAAGIAgAwBgAIIMAIABCDIAAAYgyAAAGIAgAwBgAIIMAIABCDIAAAYgyAAAGIAgAwBgAIIMAIAB\nCDIAAAYgyAAAGIAgAwBgAIIMAIABCDIAAAYgyAAAGIAgAwBgAIIMAIABCDIAAAYgyAAAGIAgAwBg\nAIIMAIABCDIAAAbIzfQAQDr5/f3q6OjR5GSu8vKm1NJSq7q67ZkeCwAIMpzD7+/XgQOvKRhsCz8X\nDB6VJKIMIOPYZA3H6OjoiYixJAWDbfL5ejM0EQD8D0GGY0xORt8gNDGRk+ZJAGA2ggzHyMubivp8\nfv50micBgNkIMhyjpaVWbvfRiOfc7iNqbvZmaCIA+B/Ltm07ZQu3LKVw8cCC+f398vl6NTGRo/z8\naTU3ezmgC0DSJNI9ggwAQJIk0r2YNlnv27dPLpdLGzduDD/X2tqq0tJSVVdXq7q6Wt3d3XENAAAA\nYgzy97///VnBtSxLhw4d0sWLF3Xx4kXt3LkzJQMCAOAEMQV527ZtWr58+azn2RwNAEByJHSlLp/P\np7Nnz6qmpkanTp1SQUHBrNe0traGH3s8Hnk8nkT+SgAAjBEIBBQIBJKyrJgP6hocHFR9fb0uX74s\nSfrwww9VWFgoSTp27JhCoZDOnDkTuXAO6gIAOEjKD+qKpqioSJZlybIsPfHEExoYGIh3UQAAOF7c\nQQ6FQuHH58+fjzgCGwAALExM+5Afe+wx9fX16erVq1q9erWOHz+uQCCgS5cuybIsrVmzRqdPn071\nrAAA3LW4MAgAAEmSkX3IAAAgeQgyAAAGIMgAABiAIAMAYACCDACAAQgyAAAGIMgAABiAIAMAYACC\nDACAAQgyAAAGIMgAABiAIAMAYACCDACAAQgyAAAGIMgAABiAIAMAYACCDACAAQgyAAAGIMgAABiA\nIAMAYACCDACAAQgyAAAGIMgAABiAIAMAYACCDACAAQgyAAAGIMgAABiAIAMAYACCDACAAQgyAAAG\nIMgAABiAIAMAYACCDACAAXIzPQCwUH5/vzo6ejQ5mau8vCm1tNSqrm57pscCgIQQZGQVv79fBw68\npmCwLfxcMHhUkogygKzGJmtklY6OnogYS1Iw2CafrzdDEwFAchBkZJXJyegbdSYmctI8CQAkF0FG\nVsnLm4r6fH7+dJonAYDkIsjIKi0ttXK7j0Y853YfUXOzN0MTAUByWLZt2/O9aN++ffL7/SoqKtLl\ny5clSWNjY/rOd76j//znPyorK9O5c+dUUFAQuXDLUgyLBxbE7++Xz9eriYkc5edPq7nZywFdAIyQ\nSPdiCvIf/vAHLVmyRI8//ng4yIcPH9bKlSt1+PBhtbe3a3x8XCdPnkzaYAAAZJuUB1mSBgcHVV9f\nHw5yRUWF+vr65HK5NDIyIo/Ho/fffz9pgwEAkG0S6V7c5yGPjo7K5XJJklwul0ZHR6O+rrW1NfzY\n4/HI4/HE+1cCAGCUQCCgQCCQlGXFvYa8fPlyjY+Ph/98xYoVGhsbi1w4a8gAAAdJpHtxH2X9xaZq\nSQqFQioqKop3UQAAOF7cQW5oaFBnZ6ckqbOzU42NjUkbCgAAp4lpk/Vjjz2mvr4+Xb16VS6XSz//\n+c/1rW99S3v27NEHH3zAaU8AAChNR1nHtXCCDABwkIzsQwYAAMlDkAEAMABBBgDAAAQZAAADEGQA\nAAxAkAEAMABBBgDAAAQZAAADEGQAAAxAkAEAMABBBgDAAAQZAAADEGQAAAxAkAEAMABBBgDAALmZ\nHgAATOT396ujo0eTk7nKy5tSS0ut6uq2Z3os3MUIMgDcxu/v14EDrykYbAs/FwwelSSijJRhkzUA\n3KajoycixpIUDLbJ5+vN0ERwAoIMALeZnIy+8XBiIifNk8BJCDIA3CYvbyrq8/n502meBE5CkAHg\nNi0ttXK7j0Y853YfUXOzN0MTwQks27btlC3cspTCxQNAyvj9/fL5ejUxkaP8/Gk1N3s5oAvzSqR7\nBBkAgCRJpHtssgYAwAAEGQAAAxBkAAAMQJABADAAQQYAwAAEGQAAAxBkAAAMQJABADAAQQYAwAAE\nGQAAAxBkAAAMQJABADAAQQYAwAAEGQAAAxBkAAAMQJABADBAbqILKCsr05e+9CXl5ORo0aJFGhgY\nSMZcAAA4SsJBtixLgUBAK1asSMY8AAA4UlI2Wdu2nYzFAADgWElZQ37ooYeUk5OjH/7wh/rBD34Q\n8eetra3hxx6PRx6PJ9G/EgAAIwQCAQUCgaQsy7ITXL0NhUIqKSnRRx99JK/XK5/Pp23btn2+cMti\n7RkA4BiJdC/hTdYlJSWSpMLCQu3evZuDugAAiENCQb5x44Y++eQTSdKnn36qnp4ebdy4MSmDAQDg\nJAntQx4dHdXu3bslSVNTU/rud7+r2trapAwGAICTJLwP+Y4LZx8yAMBBMroPGQAAJI4gAwBgAIIM\nAIABCDIAAAYgyAAAGIAgAwBgAIIMAIABCDIAAAYgyAAAGIAgAwBgAIIMAIABCDIAAAYgyAAAGIAg\nAwBgAIIMAIABCDIAAAYgyAAAGIAgAwBgAIIMAIABCDIAAAbIzfQAMIPf36+Ojh5NTuYqL29KLS21\nqqvbnumxAMAxCDLk9/frwIHXFAy2hZ8LBo9KElEGgDRhkzXU0dETEWNJCgbb5PP1ZmgiAHAe1pCh\nycnovwYTEzlpngTAXNitdPcjyFBe3lTU5/Pzp9M8CYBo2K3kDGyyhlpaauV2H414zu0+ouZmb4Ym\nAjATu5WcgTVkhP+H7fMd08REjvLzp9XcvJP/eQOGYLeSMxBkSPo8ygQYMBO7lZyBTdYAYDh2KzmD\nZdu2nbKFW5ZSuHgAcAy/v18+X++M3UpetmoZKJHuEWQAAJIkke6xyRoAAAMQZAAADECQAQAwAEEG\nAMAABBkAAAMQZAAADECQAQAwAJfOBAAkDbeJjF9CQe7u7tbBgwc1PT2tJ554Qk8++eSs1zz88NN3\nzRvDLxoAzI3bRCYm7iBPT0/rpz/9qX7/+99r1apV+trXvqaGhgatW7cu4nU9Pc+GH2fzG8MvGgDc\n2dy3iTx2V/87OXNlLRFx70MeGBjQvffeq7KyMi1atEh79+7Vyy+/fMefyeb7d3I/UgC4MyfeJvKL\nlbWenmfV19ea0LLizvnw8LBWr14d/r60tFR//vOfo7yydcZjT9a+MU78RQOAhXDibSJbW19QMOhW\nZOviE3eQLcuK8ZWtEd/l578e71+ZUU78RQOAhWhpqVUweDRia+Lnt4ncmcGpUuv//u/2GB+Pe1lx\nB3nVqlUaGhoKfz80NKTS0tI7/kw2vzFO/EUDgIX4Yj+xz3dsxm0id97V+4/nWlmLR9y3X5yamtJX\nv/pVvf766/ryl7+sLVu26KWXXoo4qMuyLD388NN3zf07uR8pAGCm2Qf8Zuh+yK+++mr4tKf9+/fr\nqaeeilw490MGANzlZq6s9fUdz0yQ5104QQYAOEgi3ePSmQAAGIAgAwBgAIIMAIABCDIAAAYgyAAA\nGIAgAwBgAIIMAIABCDIAAAYgyAAAGIAgAwBgAIIMAIABCDIAAAYgyAAAGIAgAwBgAIIMAIABCDIA\nAAYgyAAAGIAgAwBgAIIMAIABCDIAAAYgyAAAGIAgAwBgAIIMAIABCDIAAAYgyAAAGIAgAwBgAIIM\nAIABCDIAAAYgyAAAGIAgAwBgAIIMAIABCDIAAAYgyAAAGIAgAwBgAIIMAIABCDIAAAYgyAAAGIAg\nAwBgAIKMOQUCgUyPgDjx3mU33j9nijvIra2tKi0tVXV1taqrq9Xd3Z3MuWAA/lHIXrx32Y33z5ly\n4/1By7J06NAhHTp0KJnzAADgSAltsrZtO1lzAADgaJYdZ1WPHz+uF198UcuWLVNNTY1OnTqlgoKC\nyIVbVlKGBAAgW8S7snrHIHu9Xo2MjMx6vq2tTQ888IAKCwslSceOHVMoFNKZM2fiGgIAAKeLew15\npsHBQdXX1+vy5cvJmAkAAMeJex9yKBQKPz5//rw2btyYlIEAAHCiuNeQH3/8cV26dEmWZWnNmjU6\nffq0XC5XsucDAMAR4l5DPnv2rP7617/qL3/5i7q6uuRyufSb3/xGlZWVysnJ0bvvvjvnz3Z3d6ui\nokJr165Ve3t7vCMgycbGxuT1elVeXq7a2lpdu3Yt6uvKysq0adMmVVdXa8uWLWmeEjPF8llqaWnR\n2rVrVVVVpYsXL6Z5QtzJfO9fIBDQsmXLwtd7ePbZZzMwJaLZt2+fXC7XHbcOL/izZyfR3//+d/sf\n//iH7fF47HfeeSfqa6ampmy3223/+9//tm/evGlXVVXZ7733XjLHQJx+9rOf2e3t7bZt2/bJkyft\nJ598MurrysrK7I8//jidoyGKWD5Lfr/f3rVrl23btv3222/bW7duzcSoiCKW9++NN96w6+vrMzQh\n7qS/v99+99137Q0bNkT983g+e0m9dGZFRYXKy8vv+JqBgQHde++9Kisr06JFi7R37169/PLLyRwD\ncbpw4YKampokSU1NTerq6prztTbnoGdcLJ+lme/p1q1bde3aNY2OjmZiXNwm1n8L+ayZadu2bVq+\nfPmcfx7PZy/t17IeHh7W6tWrw9+XlpZqeHg43WMgitHR0fBxAC6Xa85fHsuy9NBDD6mmpkYvvPBC\nOkfEDLF8lqK95sqVK2mbEXOL5f2zLEt//OMfVVVVpUceeUTvvfdeusdEnOL57C340plznZt84sQJ\n1dfXz/vzXCwks+50bvlMlmXN+V699dZbKikp0UcffSSv16uKigpt27YtJfNibrF+lm5fw+IzaIZY\n3of77rtPQ0NDWrx4sV599VU1Njbqn//8ZxqmQzIs9LO34CD39vYu9EcirFq1SkNDQ+Hvh4aGVFpa\nmtAyEbs7vX8ul0sjIyMqLi5WKBRSUVFR1NeVlJRIkgoLC7V7924NDAwQ5AyI5bN0+2uuXLmiVatW\npW1GzC2W92/p0qXhx7t27dKPf/xjjY2NacWKFWmbE/GJ57OXsk3Wc+33qKmp0b/+9S8NDg7q5s2b\n+vWvf62GhoZUjYEFaGhoUGdnpySps7NTjY2Ns15z48YNffLJJ5KkTz/9VD09PZyDniGxfJYaGhp0\n9uxZSdLbb7+tgoICTk80RCzv3+joaPjf0oGBAdm2TYyzRFyfveQcb/a53/72t3Zpaamdn59vu1wu\ne+fOnbZt2/bw8LD9yCOPhF/3u9/9zi4vL7fdbrd94sSJZI6ABHz88cf2gw8+aK9du9b2er32+Pi4\nbduR718wGLSrqqrsqqoqu7Kykvcvw6J9lp5//nn7+eefD7/mJz/5ie12u+1NmzbNefYDMmO+9+9X\nv/qVXVlZaVdVVdlf//rX7T/96U+ZHBcz7N271y4pKbEXLVpkl5aW2mfOnEn4s5eUS2cCAIDEpP0o\nawAAMBtBBgDAAAQZAAADEGQAAAxAkAEAMABBBgDAAP8Pp8ff3t7KkqUAAAAASUVORK5CYII=\n"
}
],
"prompt_number": 127
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"This data looks more Gaussian than exponential. If we wanted to, we could use **polyfit** for this as well, but let's use the **curve_fit** function from Scipy, which can fit to arbitrary functions. You can learn more using help(curve_fit).\n",
"\n",
"First define a general Gaussian function to fit to."
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"def gauss(x,A,a): return A*exp(a*x**2)"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 128
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Now fit to it using **curve_fit**:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"from scipy.optimize import curve_fit\n",
"\n",
"params,conv = curve_fit(gauss,data[:,0],data[:,1])\n",
"x = linspace(-1,1)\n",
"plot(data[:,0],data[:,1],'bo')\n",
"A,a = params\n",
"plot(x,gauss(x,A,a),'b-')"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 129,
"text": [
"[<matplotlib.lines.Line2D at 0x81a6690>]"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAeQAAAFtCAYAAADWERnpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niAP8f69Ca/ywQXh/AAAAAElFTkSuQmCC\n"
}
],
"prompt_number": 129
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"The **curve_fit** routine we just used is built on top of a very good general **minimization** capability in Scipy. You can learn more [at the scipy documentation pages](http://docs.scipy.org/doc/scipy/reference/generated/scipy.optimize.minimize.html)."
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Monte Carlo, random numbers, and computing $\\pi$\n",
"Many methods in scientific computing rely on Monte Carlo integration, where a sequence of (pseudo) random numbers are used to approximate the integral of a function. Python has good random number generators in the standard library. The **random()** function gives pseudorandom numbers uniformly distributed between 0 and 1:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"from random import random\n",
"rands = []\n",
"for i in range(100):\n",
" rands.append(random())\n",
"plot(rands)"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 130,
"text": [
"[<matplotlib.lines.Line2D at 0x855fdd0>]"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAegAAAFtCAYAAADMLflnAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n"
}
],
"prompt_number": 130
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"**random()** uses the [Mersenne Twister](http://www.math.sci.hiroshima-u.ac.jp/~m-mat/MT/emt.html) algorithm, which is a highly regarded pseudorandom number generator. There are also functions to generate random integers, to randomly shuffle a list, and functions to pick random numbers from a particular distribution, like the normal distribution:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"from random import gauss\n",
"grands = []\n",
"for i in range(100):\n",
" grands.append(gauss(0,1))\n",
"plot(grands)"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 131,
"text": [
"[<matplotlib.lines.Line2D at 0x7dadbd0>]"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAe8AAAFtCAYAAAAu8eIeAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n"
}
],
"prompt_number": 131
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"It is generally more efficient to generate a list of random numbers all at once, particularly if you're drawing from a non-uniform distribution. Numpy has functions to generate vectors and matrices of particular types of random distributions."
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"plot(rand(100))"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 132,
"text": [
"[<matplotlib.lines.Line2D at 0x794fe90>]"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAegAAAFtCAYAAADMLflnAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nCBbi/wMaiomUJM12vwAAAABJRU5ErkJggg==\n"
}
],
"prompt_number": 132
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"One of the first programs I ever wrote was a program to compute $\\pi$ by taking random numbers as x and y coordinates, and counting how many of them were in the unit circle. For example:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"npts = 5000\n",
"xs = 2*rand(npts)-1\n",
"ys = 2*rand(npts)-1\n",
"r = xs**2+ys**2\n",
"ninside = (r<1).sum()\n",
"figsize(6,6) # make the figure square\n",
"title(\"Approximation to pi = %f\" % (4*ninside/float(npts)))\n",
"plot(xs[r<1],ys[r<1],'b.')\n",
"plot(xs[r>1],ys[r>1],'r.')\n",
"figsize(8,6) # change the figsize back to 4x3 for the rest of the notebook"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAX4AAAF4CAYAAABTg5LhAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nCf8YY4wxxnWGMeEfY4wxxrjO8L+TxP7YbptjwQAAAABJRU5ErkJggg==\n"
}
],
"prompt_number": 133
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"The idea behind the program is that the ratio of the area of the unit circle to the square that inscribes it is $\\pi/4$, so by counting the fraction of the random points in the square that are inside the circle, we get increasingly good estimates to $\\pi$. \n",
"\n",
"The above code uses some higher level Numpy tricks to compute the radius of each point in a single line, to count how many radii are below one in a single line, and to filter the x,y points based on their radii. To be honest, I rarely write code like this: I find some of these Numpy tricks a little too cute to remember them, and I'm more likely to use a list comprehension (see below) to filter the points I want, since I can remember that.\n",
"\n",
"As methods of computing $\\pi$ go, this is among the worst. A much better method is to use Leibniz's expansion of arctan(1):\n",
"\n",
"$$\\frac{\\pi}{4} = \\sum_k \\frac{(-1)^k}{2*k+1}$$"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"n = 100\n",
"total = 0\n",
"for k in range(n):\n",
" total += pow(-1,k)/(2*k+1.0)\n",
"print(4*total)"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "stream",
"stream": "stdout",
"text": [
"3.1315929035585537\n"
]
}
],
"prompt_number": 134
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"If you're interested a great method, check out [Ramanujan's method](http://en.wikipedia.org/wiki/Approximations_of_%CF%80). This converges so fast you really need arbitrary precision math to display enough decimal places. You can do this with the Python **decimal** module, if you're interested."
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Numerical Integration\n",
"Integration can be hard, and sometimes it's easier to work out a definite integral using an approximation. For example, suppose we wanted to figure out the integral:\n",
"\n",
"$$\\int_0^\\infty\\exp(-x)dx=1$$"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"from numpy import sqrt\n",
"def f(x): return exp(-x)\n",
"x = linspace(0,10)\n",
"plot(x,exp(-x))"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 135,
"text": [
"[<matplotlib.lines.Line2D at 0x792ae50>]"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAeQAAAFtCAYAAADWERnpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nkAEAsAECGQAAG/h/WfbWX4r4YY4AAAAASUVORK5CYII=\n"
}
],
"prompt_number": 135
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Scipy has a numerical integration routine **quad** (since sometimes numerical integration is called *quadrature*), that we can use for this:"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"from scipy.integrate import quad\n",
"quad(f,0,inf)"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 136,
"text": [
"(1.0000000000000002, 5.842606742906004e-11)"
]
}
],
"prompt_number": 136
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"There are also 2d and 3d numerical integrators in Scipy. [See the docs](http://docs.scipy.org/doc/scipy/reference/integrate.html) for more information."
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Fast Fourier Transform and Signal Processing\n"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Very often we want to use FFT techniques to help obtain the signal from noisy data. Scipy has several different options for this."
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"from scipy.fftpack import fft,fftfreq\n",
"\n",
"npts = 4000\n",
"nplot = npts/10\n",
"t = linspace(0,120,npts)\n",
"def acc(t): return 10*sin(2*pi*2.0*t) + 5*sin(2*pi*8.0*t) + 2*rand(npts)\n",
"\n",
"signal = acc(t)\n",
"\n",
"FFT = abs(fft(signal))\n",
"freqs = fftfreq(npts, t[1]-t[0])\n",
"\n",
"subplot(211)\n",
"plot(t[:nplot], signal[:nplot])\n",
"subplot(212)\n",
"plot(freqs,20*log10(FFT),',')\n",
"show()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAekAAAFtCAYAAAAj75JZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nvQAAAABJRU5ErkJggg==\n"
}
],
"prompt_number": 137
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"There are additional signal processing routines in Scipy that you can [read about here](http://docs.scipy.org/doc/scipy/reference/tutorial/signal.html)."
]
}
],
"metadata": {}
}
]
}
Display the source blob
Display the rendered blob
Raw
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Display the source blob
Display the rendered blob
Raw
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment