Last active
December 1, 2020 07:02
-
-
Save dan-rodrigues/dcca1ed46dc284ac46d0652a3f261ea3 to your computer and use it in GitHub Desktop.
OpenSTA doesn't work, plus VPWR/GND warnings (new open_pdks)
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
OpenSTA 2.2.0 7662c12482 Copyright (c) 2019, Parallax Software, Inc. | |
License GPLv3: GNU GPL version 3 <http://gnu.org/licenses/gpl.html> | |
This is free software, and you are free to change and redistribute it | |
under certain conditions; type `show_copying' for details. | |
This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'. | |
Error: cannot open '/.sta'. | |
Warning: /Users/dan.rodrigues/hw/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found. | |
Warning: /Users/dan.rodrigues/hw/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found. | |
Warning: /project/openlane/vdp_lite_user_proj/runs/01-12_06-34/results/synthesis/vdp_lite_user_proj.synthesis_optimized.v, line 54 instance _19673_ port VGND not found. | |
Warning: /project/openlane/vdp_lite_user_proj/runs/01-12_06-34/results/synthesis/vdp_lite_user_proj.synthesis_optimized.v, line 54 instance _19673_ port VNB not found. | |
Warning: /project/openlane/vdp_lite_user_proj/runs/01-12_06-34/results/synthesis/vdp_lite_user_proj.synthesis_optimized.v, line 54 instance _19673_ port VPB not found. | |
( and many more ... ) | |
Warning: /project/openlane/vdp_lite_user_proj/runs/01-12_06-34/results/synthesis/vdp_lite_user_proj.synthesis_optimized.v, line 173643 instance PHY_791 port VNB not found. | |
Warning: /project/openlane/vdp_lite_user_proj/runs/01-12_06-34/results/synthesis/vdp_lite_user_proj.synthesis_optimized.v, line 173643 instance PHY_791 port VPB not found. | |
Warning: /project/openlane/vdp_lite_user_proj/runs/01-12_06-34/results/synthesis/vdp_lite_user_proj.synthesis_optimized.v, line 173643 instance PHY_791 port VPWR not found. | |
Warning: /project/openlane/vdp_lite_user_proj/runs/01-12_06-34/results/synthesis/vdp_lite_user_proj.synthesis_optimized.v, line 173647 module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for PHY_792. | |
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD) | |
set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] | |
set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] | |
puts "\[INFO\]: Setting output delay to: $output_delay_value" | |
[INFO]: Setting output delay to: 7.800000000000001 | |
puts "\[INFO\]: Setting input delay to: $input_delay_value" | |
[INFO]: Setting input delay to: 7.800000000000001 | |
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]] | |
#set rst_indx [lsearch [all_inputs] [get_port resetn]] | |
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx] | |
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx] | |
set all_inputs_wo_clk_rst $all_inputs_wo_clk | |
# correct resetn | |
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst | |
#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn} | |
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs] | |
# TODO set this as parameter | |
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] | |
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] | |
puts "\[INFO\]: Setting load to: $cap_load" | |
[INFO]: Setting load to: 0.01765 | |
set_load $cap_load [all_outputs] |
Sign up for free
to join this conversation on GitHub.
Already have an account?
Sign in to comment