Skip to content

Instantly share code, notes, and snippets.

@decisionstats
Last active August 29, 2015 14:23
Show Gist options
  • Save decisionstats/637de6432e1c70a76fa7 to your computer and use it in GitHub Desktop.
Save decisionstats/637de6432e1c70a76fa7 to your computer and use it in GitHub Desktop.
Basic Python
{"nbformat_minor": 0, "cells": [{"execution_count": 2, "cell_type": "code", "source": "import pandas as pd", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 3, "cell_type": "code", "source": "import os as os", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 7, "cell_type": "code", "source": "a=os.getcwd()", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 8, "cell_type": "code", "source": "os.listdir(a)", "outputs": [{"execution_count": 8, "output_type": "execute_result", "data": {"text/plain": "['.continuum',\n '.enstaller4rc',\n '.idlerc',\n '.ipynb_checkpoints',\n '.ipython',\n '.matplotlib',\n '.RData',\n '.spyder2',\n 'AppData',\n 'Application Data',\n 'Canopy',\n 'Contacts',\n 'Cookies',\n 'Desktop',\n 'Documents',\n 'Downloads',\n 'Favorites',\n 'intermediate python notebook.ipynb',\n 'Links',\n 'Local Settings',\n 'Music',\n 'My Documents',\n 'my first R script file.R',\n 'My_First_Python_NB.ipynb',\n 'NetHood',\n 'ntuser.dat',\n 'ntuser.dat.LOG1',\n 'ntuser.dat.LOG2',\n 'NTUSER.DAT{6cced2f1-6e01-11de-8bed-001e0bcd1824}.TM.blf',\n 'NTUSER.DAT{6cced2f1-6e01-11de-8bed-001e0bcd1824}.TMContainer00000000000000000001.regtrans-ms',\n 'NTUSER.DAT{6cced2f1-6e01-11de-8bed-001e0bcd1824}.TMContainer00000000000000000002.regtrans-ms',\n 'ntuser.dat{aab24cb1-b794-11e4-a6c2-f04da2c4a5e3}.TM.blf',\n 'ntuser.dat{aab24cb1-b794-11e4-a6c2-f04da2c4a5e3}.TMContainer00000000000000000001.regtrans-ms',\n 'ntuser.dat{aab24cb1-b794-11e4-a6c2-f04da2c4a5e3}.TMContainer00000000000000000002.regtrans-ms',\n 'ntuser.ini',\n 'Pictures',\n 'PrintHood',\n 'Recent',\n 'Saved Games',\n 'Searches',\n 'SendTo',\n 'Start Menu',\n 'Templates',\n 'Untitled.ipynb',\n 'Videos']"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 9, "cell_type": "code", "source": "os.chdir('C:\\\\Users\\\\dell\\\\Desktop')", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 6, "cell_type": "code", "source": "os.listdir(a)", "outputs": [{"execution_count": 6, "output_type": "execute_result", "data": {"text/plain": "['.continuum',\n '.enstaller4rc',\n '.idlerc',\n '.ipynb_checkpoints',\n '.ipython',\n '.matplotlib',\n '.RData',\n '.spyder2',\n 'AppData',\n 'Application Data',\n 'Canopy',\n 'Contacts',\n 'Cookies',\n 'Desktop',\n 'Documents',\n 'Downloads',\n 'Favorites',\n 'intermediate python notebook.ipynb',\n 'Links',\n 'Local Settings',\n 'Music',\n 'My Documents',\n 'my first R script file.R',\n 'My_First_Python_NB.ipynb',\n 'NetHood',\n 'ntuser.dat',\n 'ntuser.dat.LOG1',\n 'ntuser.dat.LOG2',\n 'NTUSER.DAT{6cced2f1-6e01-11de-8bed-001e0bcd1824}.TM.blf',\n 'NTUSER.DAT{6cced2f1-6e01-11de-8bed-001e0bcd1824}.TMContainer00000000000000000001.regtrans-ms',\n 'NTUSER.DAT{6cced2f1-6e01-11de-8bed-001e0bcd1824}.TMContainer00000000000000000002.regtrans-ms',\n 'ntuser.dat{aab24cb1-b794-11e4-a6c2-f04da2c4a5e3}.TM.blf',\n 'ntuser.dat{aab24cb1-b794-11e4-a6c2-f04da2c4a5e3}.TMContainer00000000000000000001.regtrans-ms',\n 'ntuser.dat{aab24cb1-b794-11e4-a6c2-f04da2c4a5e3}.TMContainer00000000000000000002.regtrans-ms',\n 'ntuser.ini',\n 'Pictures',\n 'PrintHood',\n 'Recent',\n 'Saved Games',\n 'Searches',\n 'SendTo',\n 'Start Menu',\n 'Templates',\n 'Untitled.ipynb',\n 'Videos']"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 10, "cell_type": "code", "source": "bigdiamonds=pd.read_csv('BigDiamonds.csv')", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 11, "cell_type": "code", "source": "bigdiamonds[\"newdata\"]=bigdiamonds[\"price\"]/bigdiamonds[\"carat\"]", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 12, "cell_type": "code", "source": "newdiamonds=bigdiamonds[[\"carat\", \"cut\", \"clarity\",\"price\",\"cert\",\"table\",\"color\"]]", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 13, "cell_type": "code", "source": "bigdiamonds.info()", "outputs": [{"output_type": "stream", "name": "stdout", "text": "<class 'pandas.core.frame.DataFrame'>\nInt64Index: 598024 entries, 0 to 598023\nData columns (total 13 columns):\ncarat 598024 non-null float64\ncut 598024 non-null object\ncolor 598024 non-null object\nclarity 598024 non-null object\ntable 598024 non-null float64\ndepth 598024 non-null float64\ncert 598024 non-null object\nmeasurements 597978 non-null object\nprice 597311 non-null float64\nx 596209 non-null float64\ny 596172 non-null float64\nz 595480 non-null float64\nnewdata 597311 non-null float64\ndtypes: float64(8), object(5)\nmemory usage: 52.5+ MB\n"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 14, "cell_type": "code", "source": "newdiamonds.info()", "outputs": [{"output_type": "stream", "name": "stdout", "text": "<class 'pandas.core.frame.DataFrame'>\nInt64Index: 598024 entries, 0 to 598023\nData columns (total 7 columns):\ncarat 598024 non-null float64\ncut 598024 non-null object\nclarity 598024 non-null object\nprice 597311 non-null float64\ncert 598024 non-null object\ntable 598024 non-null float64\ncolor 598024 non-null object\ndtypes: float64(3), object(4)\nmemory usage: 27.4+ MB\n"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 15, "cell_type": "code", "source": "bigdiamonds.head(6)", "outputs": [{"execution_count": 15, "output_type": "execute_result", "data": {"text/plain": " carat cut color clarity table depth cert measurements price \\\n0 0.25 V.Good K I1 59 63.7 GIA 3.96 x 3.95 x 2.52 NaN \n1 0.23 Good G I1 61 58.1 GIA 4.00 x 4.05 x 2.30 NaN \n2 0.34 Good J I2 58 58.7 GIA 4.56 x 4.53 x 2.67 NaN \n3 0.21 V.Good D I1 60 60.6 GIA 3.80 x 3.82 x 2.31 NaN \n4 0.31 V.Good K I1 59 62.2 EGL 4.35 x 4.26 x 2.68 NaN \n5 0.20 Good G SI2 60 64.4 GIA 3.74 x 3.67 x 2.38 NaN \n\n x y z newdata \n0 3.96 3.95 2.52 NaN \n1 4.00 4.05 2.30 NaN \n2 4.56 4.53 2.67 NaN \n3 3.80 3.82 2.31 NaN \n4 4.35 4.26 2.68 NaN \n5 3.74 3.67 2.38 NaN ", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>carat</th>\n <th>cut</th>\n <th>color</th>\n <th>clarity</th>\n <th>table</th>\n <th>depth</th>\n <th>cert</th>\n <th>measurements</th>\n <th>price</th>\n <th>x</th>\n <th>y</th>\n <th>z</th>\n <th>newdata</th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>0</th>\n <td> 0.25</td>\n <td> V.Good</td>\n <td> K</td>\n <td> I1</td>\n <td> 59</td>\n <td> 63.7</td>\n <td> GIA</td>\n <td> 3.96 x 3.95 x 2.52</td>\n <td>NaN</td>\n <td> 3.96</td>\n <td> 3.95</td>\n <td> 2.52</td>\n <td>NaN</td>\n </tr>\n <tr>\n <th>1</th>\n <td> 0.23</td>\n <td> Good</td>\n <td> G</td>\n <td> I1</td>\n <td> 61</td>\n <td> 58.1</td>\n <td> GIA</td>\n <td> 4.00 x 4.05 x 2.30</td>\n <td>NaN</td>\n <td> 4.00</td>\n <td> 4.05</td>\n <td> 2.30</td>\n <td>NaN</td>\n </tr>\n <tr>\n <th>2</th>\n <td> 0.34</td>\n <td> Good</td>\n <td> J</td>\n <td> I2</td>\n <td> 58</td>\n <td> 58.7</td>\n <td> GIA</td>\n <td> 4.56 x 4.53 x 2.67</td>\n <td>NaN</td>\n <td> 4.56</td>\n <td> 4.53</td>\n <td> 2.67</td>\n <td>NaN</td>\n </tr>\n <tr>\n <th>3</th>\n <td> 0.21</td>\n <td> V.Good</td>\n <td> D</td>\n <td> I1</td>\n <td> 60</td>\n <td> 60.6</td>\n <td> GIA</td>\n <td> 3.80 x 3.82 x 2.31</td>\n <td>NaN</td>\n <td> 3.80</td>\n <td> 3.82</td>\n <td> 2.31</td>\n <td>NaN</td>\n </tr>\n <tr>\n <th>4</th>\n <td> 0.31</td>\n <td> V.Good</td>\n <td> K</td>\n <td> I1</td>\n <td> 59</td>\n <td> 62.2</td>\n <td> EGL</td>\n <td> 4.35 x 4.26 x 2.68</td>\n <td>NaN</td>\n <td> 4.35</td>\n <td> 4.26</td>\n <td> 2.68</td>\n <td>NaN</td>\n </tr>\n <tr>\n <th>5</th>\n <td> 0.20</td>\n <td> Good</td>\n <td> G</td>\n <td> SI2</td>\n <td> 60</td>\n <td> 64.4</td>\n <td> GIA</td>\n <td> 3.74 x 3.67 x 2.38</td>\n <td>NaN</td>\n <td> 3.74</td>\n <td> 3.67</td>\n <td> 2.38</td>\n <td>NaN</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 17, "cell_type": "code", "source": "Sorted = bigdiamonds.sort(['table'], ascending=False)", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 19, "cell_type": "code", "source": "Sorted.tail(10)", "outputs": [{"execution_count": 19, "output_type": "execute_result", "data": {"text/plain": " carat cut color clarity table depth cert \\\n467796 1.52 V.Good G SI1 0 58.5 OTHER \n276300 0.66 V.Good D VVS1 0 0.0 IGI \n529107 1.50 V.Good F SI1 0 0.0 GIA \n184003 0.50 V.Good H VVS2 0 62.8 IGI \n4630 0.32 V.Good G I1 0 0.0 GIA \n61947 0.24 Ideal D VS1 0 0.0 GIA \n161991 0.50 Ideal I VVS2 0 0.0 IGI \n302606 1.00 V.Good I SI2 0 0.0 IGI \n315656 0.84 Ideal H VS1 0 0.0 GIA \n111603 0.35 Ideal E SI1 0 0.0 GIA \n\n measurements price x y z newdata \n467796 7.45 x 7.49 x 4.37 14082 7.45 7.49 4.37 9264.473684 \n276300 5.50 x 5.56 x 3.47 2983 5.50 5.56 3.47 4519.696970 \n529107 7.23 x 7.15 x 4.61 13990 7.23 7.15 4.61 9326.666667 \n184003 5.04 x 5.03 x 3.16 1560 5.04 5.03 3.16 3120.000000 \n4630 4.37 x 4.32 x 2.72 430 4.37 4.32 2.72 1343.750000 \n61947 3.98 x 3.95 x 2.49 740 3.98 3.95 2.49 3083.333333 \n161991 5.02 x 5 x 3.17 1330 5.02 5.00 3.17 2660.000000 \n302606 6.26 x 6.29 x 3.99 3588 6.26 6.29 3.99 3588.000000 \n315656 6.02 x 6.07 x 3.72 3930 6.02 6.07 3.72 4678.571429 \n111603 4.53 x 4.55 x 2.82 950 4.53 4.55 2.82 2714.285714 ", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>carat</th>\n <th>cut</th>\n <th>color</th>\n <th>clarity</th>\n <th>table</th>\n <th>depth</th>\n <th>cert</th>\n <th>measurements</th>\n <th>price</th>\n <th>x</th>\n <th>y</th>\n <th>z</th>\n <th>newdata</th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>467796</th>\n <td> 1.52</td>\n <td> V.Good</td>\n <td> G</td>\n <td> SI1</td>\n <td> 0</td>\n <td> 58.5</td>\n <td> OTHER</td>\n <td> 7.45 x 7.49 x 4.37</td>\n <td> 14082</td>\n <td> 7.45</td>\n <td> 7.49</td>\n <td> 4.37</td>\n <td> 9264.473684</td>\n </tr>\n <tr>\n <th>276300</th>\n <td> 0.66</td>\n <td> V.Good</td>\n <td> D</td>\n <td> VVS1</td>\n <td> 0</td>\n <td> 0.0</td>\n <td> IGI</td>\n <td> 5.50 x 5.56 x 3.47</td>\n <td> 2983</td>\n <td> 5.50</td>\n <td> 5.56</td>\n <td> 3.47</td>\n <td> 4519.696970</td>\n </tr>\n <tr>\n <th>529107</th>\n <td> 1.50</td>\n <td> V.Good</td>\n <td> F</td>\n <td> SI1</td>\n <td> 0</td>\n <td> 0.0</td>\n <td> GIA</td>\n <td> 7.23 x 7.15 x 4.61</td>\n <td> 13990</td>\n <td> 7.23</td>\n <td> 7.15</td>\n <td> 4.61</td>\n <td> 9326.666667</td>\n </tr>\n <tr>\n <th>184003</th>\n <td> 0.50</td>\n <td> V.Good</td>\n <td> H</td>\n <td> VVS2</td>\n <td> 0</td>\n <td> 62.8</td>\n <td> IGI</td>\n <td> 5.04 x 5.03 x 3.16</td>\n <td> 1560</td>\n <td> 5.04</td>\n <td> 5.03</td>\n <td> 3.16</td>\n <td> 3120.000000</td>\n </tr>\n <tr>\n <th>4630 </th>\n <td> 0.32</td>\n <td> V.Good</td>\n <td> G</td>\n <td> I1</td>\n <td> 0</td>\n <td> 0.0</td>\n <td> GIA</td>\n <td> 4.37 x 4.32 x 2.72</td>\n <td> 430</td>\n <td> 4.37</td>\n <td> 4.32</td>\n <td> 2.72</td>\n <td> 1343.750000</td>\n </tr>\n <tr>\n <th>61947 </th>\n <td> 0.24</td>\n <td> Ideal</td>\n <td> D</td>\n <td> VS1</td>\n <td> 0</td>\n <td> 0.0</td>\n <td> GIA</td>\n <td> 3.98 x 3.95 x 2.49</td>\n <td> 740</td>\n <td> 3.98</td>\n <td> 3.95</td>\n <td> 2.49</td>\n <td> 3083.333333</td>\n </tr>\n <tr>\n <th>161991</th>\n <td> 0.50</td>\n <td> Ideal</td>\n <td> I</td>\n <td> VVS2</td>\n <td> 0</td>\n <td> 0.0</td>\n <td> IGI</td>\n <td> 5.02 x 5 x 3.17</td>\n <td> 1330</td>\n <td> 5.02</td>\n <td> 5.00</td>\n <td> 3.17</td>\n <td> 2660.000000</td>\n </tr>\n <tr>\n <th>302606</th>\n <td> 1.00</td>\n <td> V.Good</td>\n <td> I</td>\n <td> SI2</td>\n <td> 0</td>\n <td> 0.0</td>\n <td> IGI</td>\n <td> 6.26 x 6.29 x 3.99</td>\n <td> 3588</td>\n <td> 6.26</td>\n <td> 6.29</td>\n <td> 3.99</td>\n <td> 3588.000000</td>\n </tr>\n <tr>\n <th>315656</th>\n <td> 0.84</td>\n <td> Ideal</td>\n <td> H</td>\n <td> VS1</td>\n <td> 0</td>\n <td> 0.0</td>\n <td> GIA</td>\n <td> 6.02 x 6.07 x 3.72</td>\n <td> 3930</td>\n <td> 6.02</td>\n <td> 6.07</td>\n <td> 3.72</td>\n <td> 4678.571429</td>\n </tr>\n <tr>\n <th>111603</th>\n <td> 0.35</td>\n <td> Ideal</td>\n <td> E</td>\n <td> SI1</td>\n <td> 0</td>\n <td> 0.0</td>\n <td> GIA</td>\n <td> 4.53 x 4.55 x 2.82</td>\n <td> 950</td>\n <td> 4.53</td>\n <td> 4.55</td>\n <td> 2.82</td>\n <td> 2714.285714</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 20, "cell_type": "code", "source": "Sorted.head(10)", "outputs": [{"execution_count": 20, "output_type": "execute_result", "data": {"text/plain": " carat cut color clarity table depth cert \\\n551425 2.58 V.Good I VS2 75.9 66.1 EGL USA \n485672 2.58 V.Good I VS2 75.9 66.1 EGL USA \n119753 0.51 Good F SI1 75.0 54.3 GIA \n119957 0.51 Good F SI1 75.0 54.3 GIA \n133137 0.51 Good F SI1 75.0 54.3 GIA \n325468 1.13 Good H SI1 74.0 50.8 EGL \n268917 2.00 Good F I2 74.0 59.9 EGL \n519793 5.00 V.Good J VS2 74.0 56.2 OTHER \n593223 5.00 V.Good J VS2 74.0 56.2 OTHER \n207964 0.70 Good H VS1 73.0 62.0 EGL USA \n\n measurements price x y z newdata \n551425 8.68 x 12.61 x 5.74 18015 8.68 12.61 5.74 6982.558140 \n485672 8.68 x 12.61 x 5.74 18015 8.68 12.61 5.74 6982.558140 \n119753 5.42 x 5.48 x 2.96 996 5.42 5.48 2.96 1952.941176 \n119957 5.48 x 5.42 x 2.96 998 5.48 5.42 2.96 1956.862745 \n133137 2.96 x 5.42 x 5.48 1087 2.96 5.42 5.48 2131.372549 \n325468 3.3 x 6.48 x 6.51 4202 3.30 6.48 6.51 3718.584071 \n268917 7.95 x 7.84 x 4.73 2835 7.95 7.84 4.73 1417.500000 \n519793 11.36 x 11.46 x 6.41 60098 11.36 11.46 6.41 12019.600000 \n593223 11.36 x 11.46 x 6.41 60098 11.36 11.46 6.41 12019.600000 \n207964 5.54 x 5.65 x 3.47 1845 5.54 5.65 3.47 2635.714286 ", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>carat</th>\n <th>cut</th>\n <th>color</th>\n <th>clarity</th>\n <th>table</th>\n <th>depth</th>\n <th>cert</th>\n <th>measurements</th>\n <th>price</th>\n <th>x</th>\n <th>y</th>\n <th>z</th>\n <th>newdata</th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>551425</th>\n <td> 2.58</td>\n <td> V.Good</td>\n <td> I</td>\n <td> VS2</td>\n <td> 75.9</td>\n <td> 66.1</td>\n <td> EGL USA</td>\n <td> 8.68 x 12.61 x 5.74</td>\n <td> 18015</td>\n <td> 8.68</td>\n <td> 12.61</td>\n <td> 5.74</td>\n <td> 6982.558140</td>\n </tr>\n <tr>\n <th>485672</th>\n <td> 2.58</td>\n <td> V.Good</td>\n <td> I</td>\n <td> VS2</td>\n <td> 75.9</td>\n <td> 66.1</td>\n <td> EGL USA</td>\n <td> 8.68 x 12.61 x 5.74</td>\n <td> 18015</td>\n <td> 8.68</td>\n <td> 12.61</td>\n <td> 5.74</td>\n <td> 6982.558140</td>\n </tr>\n <tr>\n <th>119753</th>\n <td> 0.51</td>\n <td> Good</td>\n <td> F</td>\n <td> SI1</td>\n <td> 75.0</td>\n <td> 54.3</td>\n <td> GIA</td>\n <td> 5.42 x 5.48 x 2.96</td>\n <td> 996</td>\n <td> 5.42</td>\n <td> 5.48</td>\n <td> 2.96</td>\n <td> 1952.941176</td>\n </tr>\n <tr>\n <th>119957</th>\n <td> 0.51</td>\n <td> Good</td>\n <td> F</td>\n <td> SI1</td>\n <td> 75.0</td>\n <td> 54.3</td>\n <td> GIA</td>\n <td> 5.48 x 5.42 x 2.96</td>\n <td> 998</td>\n <td> 5.48</td>\n <td> 5.42</td>\n <td> 2.96</td>\n <td> 1956.862745</td>\n </tr>\n <tr>\n <th>133137</th>\n <td> 0.51</td>\n <td> Good</td>\n <td> F</td>\n <td> SI1</td>\n <td> 75.0</td>\n <td> 54.3</td>\n <td> GIA</td>\n <td> 2.96 x 5.42 x 5.48</td>\n <td> 1087</td>\n <td> 2.96</td>\n <td> 5.42</td>\n <td> 5.48</td>\n <td> 2131.372549</td>\n </tr>\n <tr>\n <th>325468</th>\n <td> 1.13</td>\n <td> Good</td>\n <td> H</td>\n <td> SI1</td>\n <td> 74.0</td>\n <td> 50.8</td>\n <td> EGL</td>\n <td> 3.3 x 6.48 x 6.51</td>\n <td> 4202</td>\n <td> 3.30</td>\n <td> 6.48</td>\n <td> 6.51</td>\n <td> 3718.584071</td>\n </tr>\n <tr>\n <th>268917</th>\n <td> 2.00</td>\n <td> Good</td>\n <td> F</td>\n <td> I2</td>\n <td> 74.0</td>\n <td> 59.9</td>\n <td> EGL</td>\n <td> 7.95 x 7.84 x 4.73</td>\n <td> 2835</td>\n <td> 7.95</td>\n <td> 7.84</td>\n <td> 4.73</td>\n <td> 1417.500000</td>\n </tr>\n <tr>\n <th>519793</th>\n <td> 5.00</td>\n <td> V.Good</td>\n <td> J</td>\n <td> VS2</td>\n <td> 74.0</td>\n <td> 56.2</td>\n <td> OTHER</td>\n <td> 11.36 x 11.46 x 6.41</td>\n <td> 60098</td>\n <td> 11.36</td>\n <td> 11.46</td>\n <td> 6.41</td>\n <td> 12019.600000</td>\n </tr>\n <tr>\n <th>593223</th>\n <td> 5.00</td>\n <td> V.Good</td>\n <td> J</td>\n <td> VS2</td>\n <td> 74.0</td>\n <td> 56.2</td>\n <td> OTHER</td>\n <td> 11.36 x 11.46 x 6.41</td>\n <td> 60098</td>\n <td> 11.36</td>\n <td> 11.46</td>\n <td> 6.41</td>\n <td> 12019.600000</td>\n </tr>\n <tr>\n <th>207964</th>\n <td> 0.70</td>\n <td> Good</td>\n <td> H</td>\n <td> VS1</td>\n <td> 73.0</td>\n <td> 62.0</td>\n <td> EGL USA</td>\n <td> 5.54 x 5.65 x 3.47</td>\n <td> 1845</td>\n <td> 5.54</td>\n <td> 5.65</td>\n <td> 3.47</td>\n <td> 2635.714286</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 12, "cell_type": "code", "source": "bigdiamonds.tail(15)", "outputs": [{"execution_count": 12, "output_type": "execute_result", "data": {"text/plain": " carat cut color clarity table depth cert \\\n598009 5.02 Good J VS2 57.0 62.3 GIA \n598010 5.01 V.Good H SI1 57.0 59.6 GIA \n598011 3.05 V.Good D VS1 60.0 60.1 GIA \n598012 5.59 Ideal I VS2 61.0 60.4 HRD \n598013 2.57 Ideal E IF 59.0 60.9 GIA \n598014 5.24 Ideal I SI1 60.0 59.5 GIA \n598015 5.03 Ideal H SI1 58.0 62.2 HRD \n598016 3.05 Ideal D VS2 59.0 61.3 GIA \n598017 3.01 Good E VS1 61.0 62.6 GIA \n598018 3.01 Ideal D VS2 58.0 62.0 GIA \n598019 3.02 Ideal E VVS2 58.0 59.8 HRD \n598020 5.01 V.Good I VVS2 63.5 61.5 IGI \n598021 3.43 Ideal F VS2 54.0 62.7 GIA \n598022 3.01 V.Good E VS1 58.0 62.9 GIA \n598023 4.13 Ideal H IF 56.0 62.5 IGI \n\n measurements price x y z newdata \n598009 10.77 x 10.84 x 6.73 99806 10.77 10.84 6.73 19881.673307 \n598010 11.11 x 11.17 x 6.64 99810 11.11 11.17 6.64 19922.155689 \n598011 9.4 x 9.34 x 5.63 99870 9.40 9.34 5.63 32744.262295 \n598012 11.52 x 11.57 x 6.97 99890 11.52 11.57 6.97 17869.409660 \n598013 8.82 x 8.88 x 5.39 99896 8.82 8.88 5.39 38870.038911 \n598014 11.35 x 11.43 x 6.78 99910 11.35 11.43 6.78 19066.793893 \n598015 6.82 x 10.94 x 10.98 99913 6.82 10.94 10.98 19863.419483 \n598016 5.73 x 9.33 x 9.36 99916 5.73 9.33 9.36 32759.344262 \n598017 9.16 x 9.25 x 5.76 99920 9.16 9.25 5.76 33196.013289 \n598018 9.25 x 9.2 x 5.72 99920 9.25 9.20 5.72 33196.013289 \n598019 9.43 x 9.51 x 5.66 99930 9.43 9.51 5.66 33089.403974 \n598020 10.78 x 10.89 x 6.68 99942 10.78 10.89 6.68 19948.502994 \n598021 9.66 x 9.61 x 6.05 99960 9.66 9.61 6.05 29142.857143 \n598022 9.15 x 9.19 x 5.77 99966 9.15 9.19 5.77 33211.295681 \n598023 10.27 x 10.19 x 6.4 99990 10.27 10.19 6.40 24210.653753 ", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>carat</th>\n <th>cut</th>\n <th>color</th>\n <th>clarity</th>\n <th>table</th>\n <th>depth</th>\n <th>cert</th>\n <th>measurements</th>\n <th>price</th>\n <th>x</th>\n <th>y</th>\n <th>z</th>\n <th>newdata</th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>598009</th>\n <td> 5.02</td>\n <td> Good</td>\n <td> J</td>\n <td> VS2</td>\n <td> 57.0</td>\n <td> 62.3</td>\n <td> GIA</td>\n <td> 10.77 x 10.84 x 6.73</td>\n <td> 99806</td>\n <td> 10.77</td>\n <td> 10.84</td>\n <td> 6.73</td>\n <td> 19881.673307</td>\n </tr>\n <tr>\n <th>598010</th>\n <td> 5.01</td>\n <td> V.Good</td>\n <td> H</td>\n <td> SI1</td>\n <td> 57.0</td>\n <td> 59.6</td>\n <td> GIA</td>\n <td> 11.11 x 11.17 x 6.64</td>\n <td> 99810</td>\n <td> 11.11</td>\n <td> 11.17</td>\n <td> 6.64</td>\n <td> 19922.155689</td>\n </tr>\n <tr>\n <th>598011</th>\n <td> 3.05</td>\n <td> V.Good</td>\n <td> D</td>\n <td> VS1</td>\n <td> 60.0</td>\n <td> 60.1</td>\n <td> GIA</td>\n <td> 9.4 x 9.34 x 5.63</td>\n <td> 99870</td>\n <td> 9.40</td>\n <td> 9.34</td>\n <td> 5.63</td>\n <td> 32744.262295</td>\n </tr>\n <tr>\n <th>598012</th>\n <td> 5.59</td>\n <td> Ideal</td>\n <td> I</td>\n <td> VS2</td>\n <td> 61.0</td>\n <td> 60.4</td>\n <td> HRD</td>\n <td> 11.52 x 11.57 x 6.97</td>\n <td> 99890</td>\n <td> 11.52</td>\n <td> 11.57</td>\n <td> 6.97</td>\n <td> 17869.409660</td>\n </tr>\n <tr>\n <th>598013</th>\n <td> 2.57</td>\n <td> Ideal</td>\n <td> E</td>\n <td> IF</td>\n <td> 59.0</td>\n <td> 60.9</td>\n <td> GIA</td>\n <td> 8.82 x 8.88 x 5.39</td>\n <td> 99896</td>\n <td> 8.82</td>\n <td> 8.88</td>\n <td> 5.39</td>\n <td> 38870.038911</td>\n </tr>\n <tr>\n <th>598014</th>\n <td> 5.24</td>\n <td> Ideal</td>\n <td> I</td>\n <td> SI1</td>\n <td> 60.0</td>\n <td> 59.5</td>\n <td> GIA</td>\n <td> 11.35 x 11.43 x 6.78</td>\n <td> 99910</td>\n <td> 11.35</td>\n <td> 11.43</td>\n <td> 6.78</td>\n <td> 19066.793893</td>\n </tr>\n <tr>\n <th>598015</th>\n <td> 5.03</td>\n <td> Ideal</td>\n <td> H</td>\n <td> SI1</td>\n <td> 58.0</td>\n <td> 62.2</td>\n <td> HRD</td>\n <td> 6.82 x 10.94 x 10.98</td>\n <td> 99913</td>\n <td> 6.82</td>\n <td> 10.94</td>\n <td> 10.98</td>\n <td> 19863.419483</td>\n </tr>\n <tr>\n <th>598016</th>\n <td> 3.05</td>\n <td> Ideal</td>\n <td> D</td>\n <td> VS2</td>\n <td> 59.0</td>\n <td> 61.3</td>\n <td> GIA</td>\n <td> 5.73 x 9.33 x 9.36</td>\n <td> 99916</td>\n <td> 5.73</td>\n <td> 9.33</td>\n <td> 9.36</td>\n <td> 32759.344262</td>\n </tr>\n <tr>\n <th>598017</th>\n <td> 3.01</td>\n <td> Good</td>\n <td> E</td>\n <td> VS1</td>\n <td> 61.0</td>\n <td> 62.6</td>\n <td> GIA</td>\n <td> 9.16 x 9.25 x 5.76</td>\n <td> 99920</td>\n <td> 9.16</td>\n <td> 9.25</td>\n <td> 5.76</td>\n <td> 33196.013289</td>\n </tr>\n <tr>\n <th>598018</th>\n <td> 3.01</td>\n <td> Ideal</td>\n <td> D</td>\n <td> VS2</td>\n <td> 58.0</td>\n <td> 62.0</td>\n <td> GIA</td>\n <td> 9.25 x 9.2 x 5.72</td>\n <td> 99920</td>\n <td> 9.25</td>\n <td> 9.20</td>\n <td> 5.72</td>\n <td> 33196.013289</td>\n </tr>\n <tr>\n <th>598019</th>\n <td> 3.02</td>\n <td> Ideal</td>\n <td> E</td>\n <td> VVS2</td>\n <td> 58.0</td>\n <td> 59.8</td>\n <td> HRD</td>\n <td> 9.43 x 9.51 x 5.66</td>\n <td> 99930</td>\n <td> 9.43</td>\n <td> 9.51</td>\n <td> 5.66</td>\n <td> 33089.403974</td>\n </tr>\n <tr>\n <th>598020</th>\n <td> 5.01</td>\n <td> V.Good</td>\n <td> I</td>\n <td> VVS2</td>\n <td> 63.5</td>\n <td> 61.5</td>\n <td> IGI</td>\n <td> 10.78 x 10.89 x 6.68</td>\n <td> 99942</td>\n <td> 10.78</td>\n <td> 10.89</td>\n <td> 6.68</td>\n <td> 19948.502994</td>\n </tr>\n <tr>\n <th>598021</th>\n <td> 3.43</td>\n <td> Ideal</td>\n <td> F</td>\n <td> VS2</td>\n <td> 54.0</td>\n <td> 62.7</td>\n <td> GIA</td>\n <td> 9.66 x 9.61 x 6.05</td>\n <td> 99960</td>\n <td> 9.66</td>\n <td> 9.61</td>\n <td> 6.05</td>\n <td> 29142.857143</td>\n </tr>\n <tr>\n <th>598022</th>\n <td> 3.01</td>\n <td> V.Good</td>\n <td> E</td>\n <td> VS1</td>\n <td> 58.0</td>\n <td> 62.9</td>\n <td> GIA</td>\n <td> 9.15 x 9.19 x 5.77</td>\n <td> 99966</td>\n <td> 9.15</td>\n <td> 9.19</td>\n <td> 5.77</td>\n <td> 33211.295681</td>\n </tr>\n <tr>\n <th>598023</th>\n <td> 4.13</td>\n <td> Ideal</td>\n <td> H</td>\n <td> IF</td>\n <td> 56.0</td>\n <td> 62.5</td>\n <td> IGI</td>\n <td> 10.27 x 10.19 x 6.4</td>\n <td> 99990</td>\n <td> 10.27</td>\n <td> 10.19</td>\n <td> 6.40</td>\n <td> 24210.653753</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 13, "cell_type": "code", "source": "bigdiamonds.columns", "outputs": [{"execution_count": 13, "output_type": "execute_result", "data": {"text/plain": "Index([u'carat', u'cut', u'color', u'clarity', u'table', u'depth', u'cert', u'measurements', u'price', u'x', u'y', u'z', u'newdata'], dtype='object')"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 21, "cell_type": "code", "source": "Sorted.describe()", "outputs": [{"execution_count": 21, "output_type": "execute_result", "data": {"text/plain": " carat table depth price \\\ncount 598024.000000 598024.000000 598024.000000 597311.000000 \nmean 1.071297 57.631077 61.063683 8753.017974 \nstd 0.812696 4.996892 7.604342 13017.567760 \nmin 0.200000 0.000000 0.000000 300.000000 \n25% 0.500000 56.000000 61.000000 1220.000000 \n50% 0.900000 58.000000 62.100000 3503.000000 \n75% 1.500000 59.000000 62.700000 11174.000000 \nmax 9.250000 75.900000 81.300000 99990.000000 \n\n x y z newdata \ncount 596209.000000 596172.000000 595480.000000 597311.000000 \nmean 5.990771 6.198671 4.033430 5789.394414 \nstd 1.530936 1.485891 1.240951 4569.329246 \nmin 0.150000 1.000000 0.040000 525.000000 \n25% 4.740000 4.970000 3.120000 2669.696970 \n50% 5.780000 6.050000 3.860000 4174.257426 \n75% 6.970000 7.230000 4.610000 7436.666667 \nmax 13.890000 13.890000 13.180000 49519.402985 ", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>carat</th>\n <th>table</th>\n <th>depth</th>\n <th>price</th>\n <th>x</th>\n <th>y</th>\n <th>z</th>\n <th>newdata</th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>count</th>\n <td> 598024.000000</td>\n <td> 598024.000000</td>\n <td> 598024.000000</td>\n <td> 597311.000000</td>\n <td> 596209.000000</td>\n <td> 596172.000000</td>\n <td> 595480.000000</td>\n <td> 597311.000000</td>\n </tr>\n <tr>\n <th>mean</th>\n <td> 1.071297</td>\n <td> 57.631077</td>\n <td> 61.063683</td>\n <td> 8753.017974</td>\n <td> 5.990771</td>\n <td> 6.198671</td>\n <td> 4.033430</td>\n <td> 5789.394414</td>\n </tr>\n <tr>\n <th>std</th>\n <td> 0.812696</td>\n <td> 4.996892</td>\n <td> 7.604342</td>\n <td> 13017.567760</td>\n <td> 1.530936</td>\n <td> 1.485891</td>\n <td> 1.240951</td>\n <td> 4569.329246</td>\n </tr>\n <tr>\n <th>min</th>\n <td> 0.200000</td>\n <td> 0.000000</td>\n <td> 0.000000</td>\n <td> 300.000000</td>\n <td> 0.150000</td>\n <td> 1.000000</td>\n <td> 0.040000</td>\n <td> 525.000000</td>\n </tr>\n <tr>\n <th>25%</th>\n <td> 0.500000</td>\n <td> 56.000000</td>\n <td> 61.000000</td>\n <td> 1220.000000</td>\n <td> 4.740000</td>\n <td> 4.970000</td>\n <td> 3.120000</td>\n <td> 2669.696970</td>\n </tr>\n <tr>\n <th>50%</th>\n <td> 0.900000</td>\n <td> 58.000000</td>\n <td> 62.100000</td>\n <td> 3503.000000</td>\n <td> 5.780000</td>\n <td> 6.050000</td>\n <td> 3.860000</td>\n <td> 4174.257426</td>\n </tr>\n <tr>\n <th>75%</th>\n <td> 1.500000</td>\n <td> 59.000000</td>\n <td> 62.700000</td>\n <td> 11174.000000</td>\n <td> 6.970000</td>\n <td> 7.230000</td>\n <td> 4.610000</td>\n <td> 7436.666667</td>\n </tr>\n <tr>\n <th>max</th>\n <td> 9.250000</td>\n <td> 75.900000</td>\n <td> 81.300000</td>\n <td> 99990.000000</td>\n <td> 13.890000</td>\n <td> 13.890000</td>\n <td> 13.180000</td>\n <td> 49519.402985</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 26, "cell_type": "code", "source": "import numpy as np\nimport urllib", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 27, "cell_type": "code", "source": "# URL for the Pima Indians Diabetes dataset (UCI Machine Learning Repository)\nurl = \"http://goo.gl/j0Rvxq\"\n# download the file\nraw_data = urllib.urlopen(url)\n# load the CSV file as a numpy matrix\ndataset = np.loadtxt(raw_data, delimiter=\",\")", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 29, "cell_type": "code", "source": "dataset.shape\n", "outputs": [{"execution_count": 29, "output_type": "execute_result", "data": {"text/plain": "(768, 9)"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 49, "cell_type": "code", "source": "dataset", "outputs": [{"execution_count": 49, "output_type": "execute_result", "data": {"text/plain": "array([[ 6. , 148. , 72. , ..., 0.627, 50. , 1. ],\n [ 1. , 85. , 66. , ..., 0.351, 31. , 0. ],\n [ 8. , 183. , 64. , ..., 0.672, 32. , 1. ],\n ..., \n [ 5. , 121. , 72. , ..., 0.245, 30. , 0. ],\n [ 1. , 126. , 60. , ..., 0.349, 47. , 1. ],\n [ 1. , 93. , 70. , ..., 0.315, 23. , 0. ]])"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 31, "cell_type": "code", "source": "dataset.head()", "outputs": [{"ename": "AttributeError", "evalue": "'numpy.ndarray' object has no attribute 'head'", "traceback": ["\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mAttributeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-31-f9013b5bf246>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mdataset\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mhead\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mAttributeError\u001b[0m: 'numpy.ndarray' object has no attribute 'head'"], "output_type": "error"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 44, "cell_type": "code", "source": "dataset2=pd.DataFrame(dataset[0:,0:])", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 45, "cell_type": "code", "source": "dataset2.head()", "outputs": [{"execution_count": 45, "output_type": "execute_result", "data": {"text/plain": " 0 1 2 3 4 5 6 7 8\n0 6 148 72 35 0 33.6 0.627 50 1\n1 1 85 66 29 0 26.6 0.351 31 0\n2 8 183 64 0 0 23.3 0.672 32 1\n3 1 89 66 23 94 28.1 0.167 21 0\n4 0 137 40 35 168 43.1 2.288 33 1", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>0</th>\n <th>1</th>\n <th>2</th>\n <th>3</th>\n <th>4</th>\n <th>5</th>\n <th>6</th>\n <th>7</th>\n <th>8</th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>0</th>\n <td> 6</td>\n <td> 148</td>\n <td> 72</td>\n <td> 35</td>\n <td> 0</td>\n <td> 33.6</td>\n <td> 0.627</td>\n <td> 50</td>\n <td> 1</td>\n </tr>\n <tr>\n <th>1</th>\n <td> 1</td>\n <td> 85</td>\n <td> 66</td>\n <td> 29</td>\n <td> 0</td>\n <td> 26.6</td>\n <td> 0.351</td>\n <td> 31</td>\n <td> 0</td>\n </tr>\n <tr>\n <th>2</th>\n <td> 8</td>\n <td> 183</td>\n <td> 64</td>\n <td> 0</td>\n <td> 0</td>\n <td> 23.3</td>\n <td> 0.672</td>\n <td> 32</td>\n <td> 1</td>\n </tr>\n <tr>\n <th>3</th>\n <td> 1</td>\n <td> 89</td>\n <td> 66</td>\n <td> 23</td>\n <td> 94</td>\n <td> 28.1</td>\n <td> 0.167</td>\n <td> 21</td>\n <td> 0</td>\n </tr>\n <tr>\n <th>4</th>\n <td> 0</td>\n <td> 137</td>\n <td> 40</td>\n <td> 35</td>\n <td> 168</td>\n <td> 43.1</td>\n <td> 2.288</td>\n <td> 33</td>\n <td> 1</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 50, "cell_type": "code", "source": "dataset2.columns= [\"Number of times pregnant\",\"Plasma glucose concentration a 2 hours in an oral glucose tolerance test\"\n ,\"Diastolic blood pressure (mm Hg)\",\n \"Triceps skin fold thickness (mm)\",\n \"2-Hour serum insulin (mu U/ml)\",\n \"Body mass index (weight in kg/(height in m)^2)\",\n\"Diabetes pedigree function\",\n \"Age (years)\",\n\"Class variable (0 or 1)\"]", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 51, "cell_type": "code", "source": "dataset2.head()", "outputs": [{"execution_count": 51, "output_type": "execute_result", "data": {"text/plain": " Number of times pregnant \\\n0 6 \n1 1 \n2 8 \n3 1 \n4 0 \n\n Plasma glucose concentration a 2 hours in an oral glucose tolerance test \\\n0 148 \n1 85 \n2 183 \n3 89 \n4 137 \n\n Diastolic blood pressure (mm Hg) Triceps skin fold thickness (mm) \\\n0 72 35 \n1 66 29 \n2 64 0 \n3 66 23 \n4 40 35 \n\n 2-Hour serum insulin (mu U/ml) \\\n0 0 \n1 0 \n2 0 \n3 94 \n4 168 \n\n Body mass index (weight in kg/(height in m)^2) Diabetes pedigree function \\\n0 33.6 0.627 \n1 26.6 0.351 \n2 23.3 0.672 \n3 28.1 0.167 \n4 43.1 2.288 \n\n Age (years) Class variable (0 or 1) \n0 50 1 \n1 31 0 \n2 32 1 \n3 21 0 \n4 33 1 ", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>Number of times pregnant</th>\n <th>Plasma glucose concentration a 2 hours in an oral glucose tolerance test</th>\n <th>Diastolic blood pressure (mm Hg)</th>\n <th>Triceps skin fold thickness (mm)</th>\n <th>2-Hour serum insulin (mu U/ml)</th>\n <th>Body mass index (weight in kg/(height in m)^2)</th>\n <th>Diabetes pedigree function</th>\n <th>Age (years)</th>\n <th>Class variable (0 or 1)</th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>0</th>\n <td> 6</td>\n <td> 148</td>\n <td> 72</td>\n <td> 35</td>\n <td> 0</td>\n <td> 33.6</td>\n <td> 0.627</td>\n <td> 50</td>\n <td> 1</td>\n </tr>\n <tr>\n <th>1</th>\n <td> 1</td>\n <td> 85</td>\n <td> 66</td>\n <td> 29</td>\n <td> 0</td>\n <td> 26.6</td>\n <td> 0.351</td>\n <td> 31</td>\n <td> 0</td>\n </tr>\n <tr>\n <th>2</th>\n <td> 8</td>\n <td> 183</td>\n <td> 64</td>\n <td> 0</td>\n <td> 0</td>\n <td> 23.3</td>\n <td> 0.672</td>\n <td> 32</td>\n <td> 1</td>\n </tr>\n <tr>\n <th>3</th>\n <td> 1</td>\n <td> 89</td>\n <td> 66</td>\n <td> 23</td>\n <td> 94</td>\n <td> 28.1</td>\n <td> 0.167</td>\n <td> 21</td>\n <td> 0</td>\n </tr>\n <tr>\n <th>4</th>\n <td> 0</td>\n <td> 137</td>\n <td> 40</td>\n <td> 35</td>\n <td> 168</td>\n <td> 43.1</td>\n <td> 2.288</td>\n <td> 33</td>\n <td> 1</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 74, "cell_type": "code", "source": "dataset3=np.array(dataset2)", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 75, "cell_type": "code", "source": "dataset3", "outputs": [{"execution_count": 75, "output_type": "execute_result", "data": {"text/plain": "array([[ 6. , 148. , 72. , ..., 0.627, 50. , 1. ],\n [ 1. , 85. , 66. , ..., 0.351, 31. , 0. ],\n [ 8. , 183. , 64. , ..., 0.672, 32. , 1. ],\n ..., \n [ 5. , 121. , 72. , ..., 0.245, 30. , 0. ],\n [ 1. , 126. , 60. , ..., 0.349, 47. , 1. ],\n [ 1. , 93. , 70. , ..., 0.315, 23. , 0. ]])"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 15, "cell_type": "code", "source": "b=len(bigdiamonds)", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 16, "cell_type": "code", "source": "b", "outputs": [{"execution_count": 16, "output_type": "execute_result", "data": {"text/plain": "598024"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 17, "cell_type": "code", "source": "len(bigdiamonds.columns)", "outputs": [{"execution_count": 17, "output_type": "execute_result", "data": {"text/plain": "13"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 18, "cell_type": "code", "source": "bigdiamonds.index.values", "outputs": [{"execution_count": 18, "output_type": "execute_result", "data": {"text/plain": "array([ 0, 1, 2, ..., 598021, 598022, 598023], dtype=int64)"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 19, "cell_type": "code", "source": "rows = np.random.choice(bigdiamonds.index.values,0.00001*b)\nprint(rows)", "outputs": [{"output_type": "stream", "name": "stdout", "text": "[422098 414157 381561 594223 393884]\n"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 20, "cell_type": "code", "source": "sampled_df = bigdiamonds.ix[rows]", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 21, "cell_type": "code", "source": "sampled_df", "outputs": [{"execution_count": 21, "output_type": "execute_result", "data": {"text/plain": " carat cut color clarity table depth cert \\\n422098 1.11 Ideal H VS2 57 61.6 GIA \n414157 1.09 Ideal I VVS2 56 62.1 GIA \n381561 1.01 Good G VS2 67 57.4 GIA \n594223 3.04 V.Good G VVS2 61 57.9 EGL USA \n393884 1.17 Ideal H SI2 58 61.8 GIA \n\n measurements price x y z newdata \n422098 6.64 x 6.67 x 4.10 8610 6.64 6.67 4.10 7756.756757 \n414157 4.09 x 6.56 x 6.6 8026 4.09 6.56 6.60 7363.302752 \n381561 6.48 x 6.49 x 3.72 6220 6.48 6.49 3.72 6158.415842 \n594223 9.54 x 9.61 x 5.54 65388 9.54 9.61 5.54 21509.210526 \n393884 6.75 x 6.78 x 4.18 6819 6.75 6.78 4.18 5828.205128 ", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>carat</th>\n <th>cut</th>\n <th>color</th>\n <th>clarity</th>\n <th>table</th>\n <th>depth</th>\n <th>cert</th>\n <th>measurements</th>\n <th>price</th>\n <th>x</th>\n <th>y</th>\n <th>z</th>\n <th>newdata</th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>422098</th>\n <td> 1.11</td>\n <td> Ideal</td>\n <td> H</td>\n <td> VS2</td>\n <td> 57</td>\n <td> 61.6</td>\n <td> GIA</td>\n <td> 6.64 x 6.67 x 4.10</td>\n <td> 8610</td>\n <td> 6.64</td>\n <td> 6.67</td>\n <td> 4.10</td>\n <td> 7756.756757</td>\n </tr>\n <tr>\n <th>414157</th>\n <td> 1.09</td>\n <td> Ideal</td>\n <td> I</td>\n <td> VVS2</td>\n <td> 56</td>\n <td> 62.1</td>\n <td> GIA</td>\n <td> 4.09 x 6.56 x 6.6</td>\n <td> 8026</td>\n <td> 4.09</td>\n <td> 6.56</td>\n <td> 6.60</td>\n <td> 7363.302752</td>\n </tr>\n <tr>\n <th>381561</th>\n <td> 1.01</td>\n <td> Good</td>\n <td> G</td>\n <td> VS2</td>\n <td> 67</td>\n <td> 57.4</td>\n <td> GIA</td>\n <td> 6.48 x 6.49 x 3.72</td>\n <td> 6220</td>\n <td> 6.48</td>\n <td> 6.49</td>\n <td> 3.72</td>\n <td> 6158.415842</td>\n </tr>\n <tr>\n <th>594223</th>\n <td> 3.04</td>\n <td> V.Good</td>\n <td> G</td>\n <td> VVS2</td>\n <td> 61</td>\n <td> 57.9</td>\n <td> EGL USA</td>\n <td> 9.54 x 9.61 x 5.54</td>\n <td> 65388</td>\n <td> 9.54</td>\n <td> 9.61</td>\n <td> 5.54</td>\n <td> 21509.210526</td>\n </tr>\n <tr>\n <th>393884</th>\n <td> 1.17</td>\n <td> Ideal</td>\n <td> H</td>\n <td> SI2</td>\n <td> 58</td>\n <td> 61.8</td>\n <td> GIA</td>\n <td> 6.75 x 6.78 x 4.18</td>\n <td> 6819</td>\n <td> 6.75</td>\n <td> 6.78</td>\n <td> 4.18</td>\n <td> 5828.205128</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 22, "cell_type": "code", "source": "bigdiamonds.describe().newdata", "outputs": [{"execution_count": 22, "output_type": "execute_result", "data": {"text/plain": "count 597311.000000\nmean 5789.394414\nstd 4569.329246\nmin 525.000000\n25% 2669.696970\n50% 4174.257426\n75% 7436.666667\nmax 49519.402985\nName: newdata, dtype: float64"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 23, "cell_type": "code", "source": "ajay=bigdiamonds.groupby(\"cut\")", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 24, "cell_type": "code", "source": "ajay", "outputs": [{"execution_count": 24, "output_type": "execute_result", "data": {"text/plain": "<pandas.core.groupby.DataFrameGroupBy object at 0x0C4A3050>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 25, "cell_type": "code", "source": "ajay.median().price", "outputs": [{"execution_count": 25, "output_type": "execute_result", "data": {"text/plain": "cut\nGood 2150\nIdeal 4385\nV.Good 3000\nName: price, dtype: float64"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 26, "cell_type": "code", "source": "ajay.describe()", "outputs": [{"execution_count": 26, "output_type": "execute_result", "data": {"text/plain": " carat table depth price \\\ncut \nGood count 59680.000000 59680.000000 59680.000000 59415.000000 \n mean 0.900303 58.869811 62.324992 5254.791551 \n std 0.692810 6.082390 7.691901 9399.558527 \n min 0.200000 0.000000 0.000000 300.000000 \n 25% 0.500000 57.000000 60.900000 1020.000000 \n 50% 0.710000 59.000000 63.900000 2150.000000 \n 75% 1.010000 62.000000 65.400000 4844.000000 \n max 8.900000 75.000000 80.000000 99920.000000 \nIdeal count 369448.000000 369448.000000 369448.000000 369346.000000 \n mean 1.120194 57.332537 61.008909 9919.276732 \n std 0.834892 4.288472 6.446250 13875.909874 \n min 0.200000 0.000000 0.000000 300.000000 \n 25% 0.460000 56.000000 61.000000 1262.250000 \n 50% 0.990000 57.000000 61.900000 4385.000000 \n 75% 1.520000 59.000000 62.400000 13948.750000 \n max 9.250000 71.000000 81.300000 99990.000000 \nV.Good count 168896.000000 168896.000000 168896.000000 168550.000000 \n mean 1.024760 57.846400 60.737810 7430.526817 \n std 0.791539 5.858747 9.605845 11765.267468 \n min 0.200000 0.000000 0.000000 300.000000 \n 25% 0.500000 56.500000 60.800000 1221.000000 \n 50% 0.800000 58.000000 62.600000 3000.000000 \n 75% 1.230000 60.000000 63.600000 7773.000000 \n max 8.900000 75.900000 75.800000 99966.000000 \n\n x y z newdata \ncut \nGood count 59485.000000 59482.000000 59495.000000 59415.000000 \n mean 5.683471 5.816651 3.780346 4113.796456 \n std 1.295065 1.278990 1.027792 3157.792157 \n min 0.150000 3.030000 0.040000 525.000000 \n 25% 4.810000 4.910000 3.100000 2227.207792 \n 50% 5.560000 5.640000 3.620000 3120.000000 \n 75% 6.240000 6.290000 4.070000 4749.482556 \n max 13.890000 13.780000 12.910000 43410.000000 \nIdeal count 368422.000000 368400.000000 367761.000000 369346.000000 \n mean 6.028667 6.304883 4.154810 6365.580488 \n std 1.598827 1.530777 1.349846 4905.920638 \n min 0.520000 1.000000 0.340000 671.111111 \n 25% 4.690000 4.980000 3.110000 2815.789474 \n 50% 5.820000 6.320000 3.980000 4698.333333 \n 75% 7.170000 7.390000 4.800000 8412.935323 \n max 13.240000 13.330000 12.680000 49481.592040 \nV.Good count 168302.000000 168290.000000 168224.000000 168550.000000 \n mean 6.016426 6.101187 3.857584 5117.449181 \n std 1.441203 1.424043 1.004218 3945.418594 \n min 0.160000 1.000000 0.410000 604.780876 \n 25% 4.940000 5.010000 3.130000 2560.000000 \n 50% 5.800000 5.870000 3.710000 3802.500000 \n 75% 6.770000 6.880000 4.380000 6237.528822 \n max 13.780000 13.890000 13.180000 49519.402985 ", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th></th>\n <th>carat</th>\n <th>table</th>\n <th>depth</th>\n <th>price</th>\n <th>x</th>\n <th>y</th>\n <th>z</th>\n <th>newdata</th>\n </tr>\n <tr>\n <th>cut</th>\n <th></th>\n <th></th>\n <th></th>\n <th></th>\n <th></th>\n <th></th>\n <th></th>\n <th></th>\n <th></th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th rowspan=\"8\" valign=\"top\">Good</th>\n <th>count</th>\n <td> 59680.000000</td>\n <td> 59680.000000</td>\n <td> 59680.000000</td>\n <td> 59415.000000</td>\n <td> 59485.000000</td>\n <td> 59482.000000</td>\n <td> 59495.000000</td>\n <td> 59415.000000</td>\n </tr>\n <tr>\n <th>mean</th>\n <td> 0.900303</td>\n <td> 58.869811</td>\n <td> 62.324992</td>\n <td> 5254.791551</td>\n <td> 5.683471</td>\n <td> 5.816651</td>\n <td> 3.780346</td>\n <td> 4113.796456</td>\n </tr>\n <tr>\n <th>std</th>\n <td> 0.692810</td>\n <td> 6.082390</td>\n <td> 7.691901</td>\n <td> 9399.558527</td>\n <td> 1.295065</td>\n <td> 1.278990</td>\n <td> 1.027792</td>\n <td> 3157.792157</td>\n </tr>\n <tr>\n <th>min</th>\n <td> 0.200000</td>\n <td> 0.000000</td>\n <td> 0.000000</td>\n <td> 300.000000</td>\n <td> 0.150000</td>\n <td> 3.030000</td>\n <td> 0.040000</td>\n <td> 525.000000</td>\n </tr>\n <tr>\n <th>25%</th>\n <td> 0.500000</td>\n <td> 57.000000</td>\n <td> 60.900000</td>\n <td> 1020.000000</td>\n <td> 4.810000</td>\n <td> 4.910000</td>\n <td> 3.100000</td>\n <td> 2227.207792</td>\n </tr>\n <tr>\n <th>50%</th>\n <td> 0.710000</td>\n <td> 59.000000</td>\n <td> 63.900000</td>\n <td> 2150.000000</td>\n <td> 5.560000</td>\n <td> 5.640000</td>\n <td> 3.620000</td>\n <td> 3120.000000</td>\n </tr>\n <tr>\n <th>75%</th>\n <td> 1.010000</td>\n <td> 62.000000</td>\n <td> 65.400000</td>\n <td> 4844.000000</td>\n <td> 6.240000</td>\n <td> 6.290000</td>\n <td> 4.070000</td>\n <td> 4749.482556</td>\n </tr>\n <tr>\n <th>max</th>\n <td> 8.900000</td>\n <td> 75.000000</td>\n <td> 80.000000</td>\n <td> 99920.000000</td>\n <td> 13.890000</td>\n <td> 13.780000</td>\n <td> 12.910000</td>\n <td> 43410.000000</td>\n </tr>\n <tr>\n <th rowspan=\"8\" valign=\"top\">Ideal</th>\n <th>count</th>\n <td> 369448.000000</td>\n <td> 369448.000000</td>\n <td> 369448.000000</td>\n <td> 369346.000000</td>\n <td> 368422.000000</td>\n <td> 368400.000000</td>\n <td> 367761.000000</td>\n <td> 369346.000000</td>\n </tr>\n <tr>\n <th>mean</th>\n <td> 1.120194</td>\n <td> 57.332537</td>\n <td> 61.008909</td>\n <td> 9919.276732</td>\n <td> 6.028667</td>\n <td> 6.304883</td>\n <td> 4.154810</td>\n <td> 6365.580488</td>\n </tr>\n <tr>\n <th>std</th>\n <td> 0.834892</td>\n <td> 4.288472</td>\n <td> 6.446250</td>\n <td> 13875.909874</td>\n <td> 1.598827</td>\n <td> 1.530777</td>\n <td> 1.349846</td>\n <td> 4905.920638</td>\n </tr>\n <tr>\n <th>min</th>\n <td> 0.200000</td>\n <td> 0.000000</td>\n <td> 0.000000</td>\n <td> 300.000000</td>\n <td> 0.520000</td>\n <td> 1.000000</td>\n <td> 0.340000</td>\n <td> 671.111111</td>\n </tr>\n <tr>\n <th>25%</th>\n <td> 0.460000</td>\n <td> 56.000000</td>\n <td> 61.000000</td>\n <td> 1262.250000</td>\n <td> 4.690000</td>\n <td> 4.980000</td>\n <td> 3.110000</td>\n <td> 2815.789474</td>\n </tr>\n <tr>\n <th>50%</th>\n <td> 0.990000</td>\n <td> 57.000000</td>\n <td> 61.900000</td>\n <td> 4385.000000</td>\n <td> 5.820000</td>\n <td> 6.320000</td>\n <td> 3.980000</td>\n <td> 4698.333333</td>\n </tr>\n <tr>\n <th>75%</th>\n <td> 1.520000</td>\n <td> 59.000000</td>\n <td> 62.400000</td>\n <td> 13948.750000</td>\n <td> 7.170000</td>\n <td> 7.390000</td>\n <td> 4.800000</td>\n <td> 8412.935323</td>\n </tr>\n <tr>\n <th>max</th>\n <td> 9.250000</td>\n <td> 71.000000</td>\n <td> 81.300000</td>\n <td> 99990.000000</td>\n <td> 13.240000</td>\n <td> 13.330000</td>\n <td> 12.680000</td>\n <td> 49481.592040</td>\n </tr>\n <tr>\n <th rowspan=\"8\" valign=\"top\">V.Good</th>\n <th>count</th>\n <td> 168896.000000</td>\n <td> 168896.000000</td>\n <td> 168896.000000</td>\n <td> 168550.000000</td>\n <td> 168302.000000</td>\n <td> 168290.000000</td>\n <td> 168224.000000</td>\n <td> 168550.000000</td>\n </tr>\n <tr>\n <th>mean</th>\n <td> 1.024760</td>\n <td> 57.846400</td>\n <td> 60.737810</td>\n <td> 7430.526817</td>\n <td> 6.016426</td>\n <td> 6.101187</td>\n <td> 3.857584</td>\n <td> 5117.449181</td>\n </tr>\n <tr>\n <th>std</th>\n <td> 0.791539</td>\n <td> 5.858747</td>\n <td> 9.605845</td>\n <td> 11765.267468</td>\n <td> 1.441203</td>\n <td> 1.424043</td>\n <td> 1.004218</td>\n <td> 3945.418594</td>\n </tr>\n <tr>\n <th>min</th>\n <td> 0.200000</td>\n <td> 0.000000</td>\n <td> 0.000000</td>\n <td> 300.000000</td>\n <td> 0.160000</td>\n <td> 1.000000</td>\n <td> 0.410000</td>\n <td> 604.780876</td>\n </tr>\n <tr>\n <th>25%</th>\n <td> 0.500000</td>\n <td> 56.500000</td>\n <td> 60.800000</td>\n <td> 1221.000000</td>\n <td> 4.940000</td>\n <td> 5.010000</td>\n <td> 3.130000</td>\n <td> 2560.000000</td>\n </tr>\n <tr>\n <th>50%</th>\n <td> 0.800000</td>\n <td> 58.000000</td>\n <td> 62.600000</td>\n <td> 3000.000000</td>\n <td> 5.800000</td>\n <td> 5.870000</td>\n <td> 3.710000</td>\n <td> 3802.500000</td>\n </tr>\n <tr>\n <th>75%</th>\n <td> 1.230000</td>\n <td> 60.000000</td>\n <td> 63.600000</td>\n <td> 7773.000000</td>\n <td> 6.770000</td>\n <td> 6.880000</td>\n <td> 4.380000</td>\n <td> 6237.528822</td>\n </tr>\n <tr>\n <th>max</th>\n <td> 8.900000</td>\n <td> 75.900000</td>\n <td> 75.800000</td>\n <td> 99966.000000</td>\n <td> 13.780000</td>\n <td> 13.890000</td>\n <td> 13.180000</td>\n <td> 49519.402985</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": null, "cell_type": "code", "source": "", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 27, "cell_type": "code", "source": "clarity=bigdiamonds.groupby(\"clarity\")", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 28, "cell_type": "code", "source": "clarity.count().price", "outputs": [{"execution_count": 28, "output_type": "execute_result", "data": {"text/plain": "clarity\nI1 14355\nI2 2284\nIF 31156\nSI1 116468\nSI2 104104\nVS1 97677\nVS2 110997\nVVS1 54790\nVVS2 65480\nName: price, dtype: int64"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 29, "cell_type": "code", "source": "clarity.mean().price", "outputs": [{"execution_count": 29, "output_type": "execute_result", "data": {"text/plain": "clarity\nI1 2465.762382\nI2 2611.824869\nIF 11559.865419\nSI1 7909.712840\nSI2 6986.413317\nVS1 10270.489491\nVS2 9808.277449\nVVS1 8467.331922\nVVS2 9505.287538\nName: price, dtype: float64"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 30, "cell_type": "code", "source": "import matplotlib as mt\nimport pylab as pl\n", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 31, "cell_type": "code", "source": "pl.plot(bigdiamonds.carat,bigdiamonds.price)\n", "outputs": [{"execution_count": 31, "output_type": "execute_result", "data": {"text/plain": "[<matplotlib.lines.Line2D at 0x9c300d0>]"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 32, "cell_type": "code", "source": "pl.show() ", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 33, "cell_type": "code", "source": "%matplotlib inline \npl.scatter(bigdiamonds.carat,bigdiamonds.price)\npl.show() ", "outputs": [{"output_type": "display_data", "data": {"image/png": "iVBORw0KGgoAAAANSUhEUgAAAY0AAAEACAYAAABPiSrXAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUVORK5CYII=\n", "text/plain": "<matplotlib.figure.Figure at 0x6afa8b0>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 34, "cell_type": "code", "source": "bigdiamonds.describe()", "outputs": [{"execution_count": 34, "output_type": "execute_result", "data": {"text/plain": " carat table depth price \\\ncount 598024.000000 598024.000000 598024.000000 597311.000000 \nmean 1.071297 57.631077 61.063683 8753.017974 \nstd 0.812696 4.996892 7.604342 13017.567760 \nmin 0.200000 0.000000 0.000000 300.000000 \n25% 0.500000 56.000000 61.000000 1220.000000 \n50% 0.900000 58.000000 62.100000 3503.000000 \n75% 1.500000 59.000000 62.700000 11174.000000 \nmax 9.250000 75.900000 81.300000 99990.000000 \n\n x y z newdata \ncount 596209.000000 596172.000000 595480.000000 597311.000000 \nmean 5.990771 6.198671 4.033430 5789.394414 \nstd 1.530936 1.485891 1.240951 4569.329246 \nmin 0.150000 1.000000 0.040000 525.000000 \n25% 4.740000 4.970000 3.120000 2669.696970 \n50% 5.780000 6.050000 3.860000 4174.257426 \n75% 6.970000 7.230000 4.610000 7436.666667 \nmax 13.890000 13.890000 13.180000 49519.402985 ", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>carat</th>\n <th>table</th>\n <th>depth</th>\n <th>price</th>\n <th>x</th>\n <th>y</th>\n <th>z</th>\n <th>newdata</th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>count</th>\n <td> 598024.000000</td>\n <td> 598024.000000</td>\n <td> 598024.000000</td>\n <td> 597311.000000</td>\n <td> 596209.000000</td>\n <td> 596172.000000</td>\n <td> 595480.000000</td>\n <td> 597311.000000</td>\n </tr>\n <tr>\n <th>mean</th>\n <td> 1.071297</td>\n <td> 57.631077</td>\n <td> 61.063683</td>\n <td> 8753.017974</td>\n <td> 5.990771</td>\n <td> 6.198671</td>\n <td> 4.033430</td>\n <td> 5789.394414</td>\n </tr>\n <tr>\n <th>std</th>\n <td> 0.812696</td>\n <td> 4.996892</td>\n <td> 7.604342</td>\n <td> 13017.567760</td>\n <td> 1.530936</td>\n <td> 1.485891</td>\n <td> 1.240951</td>\n <td> 4569.329246</td>\n </tr>\n <tr>\n <th>min</th>\n <td> 0.200000</td>\n <td> 0.000000</td>\n <td> 0.000000</td>\n <td> 300.000000</td>\n <td> 0.150000</td>\n <td> 1.000000</td>\n <td> 0.040000</td>\n <td> 525.000000</td>\n </tr>\n <tr>\n <th>25%</th>\n <td> 0.500000</td>\n <td> 56.000000</td>\n <td> 61.000000</td>\n <td> 1220.000000</td>\n <td> 4.740000</td>\n <td> 4.970000</td>\n <td> 3.120000</td>\n <td> 2669.696970</td>\n </tr>\n <tr>\n <th>50%</th>\n <td> 0.900000</td>\n <td> 58.000000</td>\n <td> 62.100000</td>\n <td> 3503.000000</td>\n <td> 5.780000</td>\n <td> 6.050000</td>\n <td> 3.860000</td>\n <td> 4174.257426</td>\n </tr>\n <tr>\n <th>75%</th>\n <td> 1.500000</td>\n <td> 59.000000</td>\n <td> 62.700000</td>\n <td> 11174.000000</td>\n <td> 6.970000</td>\n <td> 7.230000</td>\n <td> 4.610000</td>\n <td> 7436.666667</td>\n </tr>\n <tr>\n <th>max</th>\n <td> 9.250000</td>\n <td> 75.900000</td>\n <td> 81.300000</td>\n <td> 99990.000000</td>\n <td> 13.890000</td>\n <td> 13.890000</td>\n <td> 13.180000</td>\n <td> 49519.402985</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 35, "cell_type": "code", "source": "bigdiamonds.price.describe()", "outputs": [{"execution_count": 35, "output_type": "execute_result", "data": {"text/plain": "count 597311.000000\nmean 8753.017974\nstd 13017.567760\nmin 300.000000\n25% 1220.000000\n50% 3503.000000\n75% 11174.000000\nmax 99990.000000\nName: price, dtype: float64"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": null, "cell_type": "code", "source": "", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": null, "cell_type": "code", "source": "", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": null, "cell_type": "code", "source": "", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": null, "cell_type": "code", "source": "", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 36, "cell_type": "code", "source": "bigdiamonds.corr()", "outputs": [{"execution_count": 36, "output_type": "execute_result", "data": {"text/plain": " carat table depth price x y z \\\ncarat 1.000000 0.036533 0.009846 0.856328 0.860246 0.960807 0.792051 \ntable 0.036533 1.000000 0.448772 0.023378 0.027504 0.044542 0.030344 \ndepth 0.009846 0.448772 1.000000 -0.001006 -0.003279 0.007669 0.031801 \nprice 0.856328 0.023378 -0.001006 1.000000 0.719778 0.796765 0.645317 \nx 0.860246 0.027504 -0.003279 0.719778 1.000000 0.894203 0.483102 \ny 0.960807 0.044542 0.007669 0.796765 0.894203 1.000000 0.820211 \nz 0.792051 0.030344 0.031801 0.645317 0.483102 0.820211 1.000000 \nnewdata 0.685399 0.012662 0.003913 0.885976 0.647908 0.716541 0.578021 \n\n newdata \ncarat 0.685399 \ntable 0.012662 \ndepth 0.003913 \nprice 0.885976 \nx 0.647908 \ny 0.716541 \nz 0.578021 \nnewdata 1.000000 ", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>carat</th>\n <th>table</th>\n <th>depth</th>\n <th>price</th>\n <th>x</th>\n <th>y</th>\n <th>z</th>\n <th>newdata</th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>carat</th>\n <td> 1.000000</td>\n <td> 0.036533</td>\n <td> 0.009846</td>\n <td> 0.856328</td>\n <td> 0.860246</td>\n <td> 0.960807</td>\n <td> 0.792051</td>\n <td> 0.685399</td>\n </tr>\n <tr>\n <th>table</th>\n <td> 0.036533</td>\n <td> 1.000000</td>\n <td> 0.448772</td>\n <td> 0.023378</td>\n <td> 0.027504</td>\n <td> 0.044542</td>\n <td> 0.030344</td>\n <td> 0.012662</td>\n </tr>\n <tr>\n <th>depth</th>\n <td> 0.009846</td>\n <td> 0.448772</td>\n <td> 1.000000</td>\n <td>-0.001006</td>\n <td>-0.003279</td>\n <td> 0.007669</td>\n <td> 0.031801</td>\n <td> 0.003913</td>\n </tr>\n <tr>\n <th>price</th>\n <td> 0.856328</td>\n <td> 0.023378</td>\n <td>-0.001006</td>\n <td> 1.000000</td>\n <td> 0.719778</td>\n <td> 0.796765</td>\n <td> 0.645317</td>\n <td> 0.885976</td>\n </tr>\n <tr>\n <th>x</th>\n <td> 0.860246</td>\n <td> 0.027504</td>\n <td>-0.003279</td>\n <td> 0.719778</td>\n <td> 1.000000</td>\n <td> 0.894203</td>\n <td> 0.483102</td>\n <td> 0.647908</td>\n </tr>\n <tr>\n <th>y</th>\n <td> 0.960807</td>\n <td> 0.044542</td>\n <td> 0.007669</td>\n <td> 0.796765</td>\n <td> 0.894203</td>\n <td> 1.000000</td>\n <td> 0.820211</td>\n <td> 0.716541</td>\n </tr>\n <tr>\n <th>z</th>\n <td> 0.792051</td>\n <td> 0.030344</td>\n <td> 0.031801</td>\n <td> 0.645317</td>\n <td> 0.483102</td>\n <td> 0.820211</td>\n <td> 1.000000</td>\n <td> 0.578021</td>\n </tr>\n <tr>\n <th>newdata</th>\n <td> 0.685399</td>\n <td> 0.012662</td>\n <td> 0.003913</td>\n <td> 0.885976</td>\n <td> 0.647908</td>\n <td> 0.716541</td>\n <td> 0.578021</td>\n <td> 1.000000</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 37, "cell_type": "code", "source": "q=bigdiamonds.corr()", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 38, "cell_type": "code", "source": "q.to_csv(\"testoutput.csv\")", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 60, "cell_type": "code", "source": "cleandiamonds=bigdiamonds.dropna().reset_index(drop=True) ", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 61, "cell_type": "code", "source": "cleandiamonds.info()", "outputs": [{"output_type": "stream", "name": "stdout", "text": "<class 'pandas.core.frame.DataFrame'>\nInt64Index: 593784 entries, 0 to 593783\nData columns (total 13 columns):\ncarat 593784 non-null float64\ncut 593784 non-null object\ncolor 593784 non-null object\nclarity 593784 non-null object\ntable 593784 non-null float64\ndepth 593784 non-null float64\ncert 593784 non-null object\nmeasurements 593784 non-null object\nprice 593784 non-null float64\nx 593784 non-null float64\ny 593784 non-null float64\nz 593784 non-null float64\nnewdata 593784 non-null float64\ndtypes: float64(8), object(5)\nmemory usage: 52.1+ MB\n"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 62, "cell_type": "code", "source": "from ggplot import *\n", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 54, "cell_type": "code", "source": "p = ggplot(aes(x='price', y='carat'), data=cleandiamonds)\np", "outputs": [{"output_type": "display_data", "data": {"image/png": "iVBORw0KGgoAAAANSUhEUgAAApAAAAHhCAYAAADZI46pAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nMi6b72VcfbsAAAAASUVORK5CYII=\n", "text/plain": "<matplotlib.figure.Figure at 0x20758bd0>"}, "metadata": {}}, {"execution_count": 54, "output_type": "execute_result", "data": {"text/plain": "<ggplot: (33874013)>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 63, "cell_type": "code", "source": "p + geom_point()\n", "outputs": [{"ename": "KeyError", "evalue": "0L", "traceback": ["\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mKeyError\u001b[0m Traceback (most recent call last)", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\IPython\\core\\formatters.pyc\u001b[0m in \u001b[0;36m__call__\u001b[1;34m(self, obj)\u001b[0m\n\u001b[0;32m 693\u001b[0m \u001b[0mtype_pprinters\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mtype_printers\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 694\u001b[0m deferred_pprinters=self.deferred_printers)\n\u001b[1;32m--> 695\u001b[1;33m \u001b[0mprinter\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mpretty\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mobj\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 696\u001b[0m \u001b[0mprinter\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mflush\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 697\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mstream\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mgetvalue\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\IPython\\lib\\pretty.pyc\u001b[0m in \u001b[0;36mpretty\u001b[1;34m(self, obj)\u001b[0m\n\u001b[0;32m 399\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mcallable\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mmeth\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 400\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mmeth\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mobj\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcycle\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 401\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0m_default_pprint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mobj\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcycle\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 402\u001b[0m \u001b[1;32mfinally\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 403\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mend_group\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\IPython\\lib\\pretty.pyc\u001b[0m in \u001b[0;36m_default_pprint\u001b[1;34m(obj, p, cycle)\u001b[0m\n\u001b[0;32m 519\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0m_safe_getattr\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mklass\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'__repr__'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mNone\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[1;32min\u001b[0m \u001b[0m_baseclass_reprs\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 520\u001b[0m \u001b[1;31m# A user-provided repr. Find newlines and replace them with p.break_()\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 521\u001b[1;33m \u001b[0m_repr_pprint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mobj\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mp\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcycle\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 522\u001b[0m \u001b[1;32mreturn\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 523\u001b[0m \u001b[0mp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mbegin_group\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'<'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\IPython\\lib\\pretty.pyc\u001b[0m in \u001b[0;36m_repr_pprint\u001b[1;34m(obj, p, cycle)\u001b[0m\n\u001b[0;32m 701\u001b[0m \u001b[1;34m\"\"\"A pprint that just redirects to the normal repr function.\"\"\"\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 702\u001b[0m \u001b[1;31m# Find newlines and replace them with p.break_()\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 703\u001b[1;33m \u001b[0moutput\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mrepr\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mobj\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 704\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0midx\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0moutput_line\u001b[0m \u001b[1;32min\u001b[0m \u001b[0menumerate\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0moutput\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msplitlines\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 705\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0midx\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\ggplot\\ggplot.pyc\u001b[0m in \u001b[0;36m__repr__\u001b[1;34m(self)\u001b[0m\n\u001b[0;32m 109\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m__repr__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 110\u001b[0m \u001b[1;34m\"\"\"Print/show the plot\"\"\"\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 111\u001b[1;33m \u001b[0mfigure\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mdraw\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 112\u001b[0m \u001b[1;31m# We're going to default to making the plot appear when __repr__ is\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 113\u001b[0m \u001b[1;31m# called.\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\ggplot\\ggplot.pyc\u001b[0m in \u001b[0;36mdraw\u001b[1;34m(self)\u001b[0m\n\u001b[0;32m 352\u001b[0m \u001b[0max\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mxaxis\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mset_ticklabels\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mxtick_labels\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 353\u001b[0m \u001b[1;31m# need to handle cases when there's no geom_bar/hist\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 354\u001b[1;33m \u001b[1;32melif\u001b[0m \u001b[1;34m\"data\"\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mlocals\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;32mand\u001b[0m \u001b[1;33m(\u001b[0m\u001b[1;34m\"x\"\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mdata\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;32mand\u001b[0m \u001b[0misinstance\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdata\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m\"x\"\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdatetime\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mdate\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 355\u001b[0m \u001b[1;31m# \"did matplotlib do a decent job of making the label\" check\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 356\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mlog10\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0max\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_xticks\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m>\u001b[0m \u001b[1;36m6\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\pandas\\core\\series.pyc\u001b[0m in \u001b[0;36m__getitem__\u001b[1;34m(self, key)\u001b[0m\n\u001b[0;32m 507\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m__getitem__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mkey\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 508\u001b[0m \u001b[1;32mtry\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 509\u001b[1;33m \u001b[0mresult\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mindex\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_value\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mkey\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 510\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 511\u001b[0m \u001b[1;32mif\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0misscalar\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mresult\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\pandas\\core\\index.pyc\u001b[0m in \u001b[0;36mget_value\u001b[1;34m(self, series, key)\u001b[0m\n\u001b[0;32m 1415\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1416\u001b[0m \u001b[1;32mtry\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1417\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_engine\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_value\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0ms\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mk\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1418\u001b[0m \u001b[1;32mexcept\u001b[0m \u001b[0mKeyError\u001b[0m \u001b[1;32mas\u001b[0m \u001b[0me1\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1419\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m>\u001b[0m \u001b[1;36m0\u001b[0m \u001b[1;32mand\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0minferred_type\u001b[0m \u001b[1;32min\u001b[0m \u001b[1;33m[\u001b[0m\u001b[1;34m'integer'\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;34m'boolean'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mpandas\\index.pyx\u001b[0m in \u001b[0;36mpandas.index.IndexEngine.get_value (pandas\\index.c:3109)\u001b[1;34m()\u001b[0m\n", "\u001b[1;32mpandas\\index.pyx\u001b[0m in \u001b[0;36mpandas.index.IndexEngine.get_value (pandas\\index.c:2840)\u001b[1;34m()\u001b[0m\n", "\u001b[1;32mpandas\\index.pyx\u001b[0m in \u001b[0;36mpandas.index.IndexEngine.get_loc (pandas\\index.c:3700)\u001b[1;34m()\u001b[0m\n", "\u001b[1;32mpandas\\hashtable.pyx\u001b[0m in \u001b[0;36mpandas.hashtable.Int64HashTable.get_item (pandas\\hashtable.c:7229)\u001b[1;34m()\u001b[0m\n", "\u001b[1;32mpandas\\hashtable.pyx\u001b[0m in \u001b[0;36mpandas.hashtable.Int64HashTable.get_item (pandas\\hashtable.c:7167)\u001b[1;34m()\u001b[0m\n", "\u001b[1;31mKeyError\u001b[0m: 0L"], "output_type": "error"}, {"output_type": "display_data", "data": {"image/png": "iVBORw0KGgoAAAANSUhEUgAAAqsAAAHzCAYAAAAHLlT2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\noqwCAADAWpRVAAAAWOt/iYVm+sEuBpsAAAAASUVORK5CYII=\n", "text/plain": "<matplotlib.figure.Figure at 0x9dc5ff0>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 64, "cell_type": "code", "source": "p + geom_point() +facet_grid('cut')\n", "outputs": [{"output_type": "display_data", "data": {"image/png": "iVBORw0KGgoAAAANSUhEUgAAAsMAAAIVCAYAAAA06/JwAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nWGIYAIBiiWEAAIolhgEAKJYYBgCgWB9/yOEOb54mJwAAAABJRU5ErkJggg==\n", "text/plain": "<matplotlib.figure.Figure at 0x2803f910>"}, "metadata": {}}, {"execution_count": 64, "output_type": "execute_result", "data": {"text/plain": "<ggplot: (56734097)>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 65, "cell_type": "code", "source": "p + geom_point() +facet_grid('color')\n", "outputs": [{"output_type": "display_data", "data": {"image/png": "iVBORw0KGgoAAAANSUhEUgAAAsMAAAIVCAYAAAA06/JwAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": "<matplotlib.figure.Figure at 0x36140c90>"}, "metadata": {}}, {"execution_count": 65, "output_type": "execute_result", "data": {"text/plain": "<ggplot: (56698921)>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 66, "cell_type": "code", "source": "p + geom_point() +facet_grid('clarity')\n", "outputs": [{"output_type": "display_data", "data": {"image/png": "iVBORw0KGgoAAAANSUhEUgAAAsMAAAIVCAYAAAA06/JwAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": "<matplotlib.figure.Figure at 0x2a2b9d30>"}, "metadata": {}}, {"execution_count": 66, "output_type": "execute_result", "data": {"text/plain": "<ggplot: (56705147)>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 67, "cell_type": "code", "source": "p = ggplot(aes(x='price', y='carat',color=\"cut\"), data=bigdiamonds)\np + geom_point()", "outputs": [{"ename": "KeyError", "evalue": "0L", "traceback": ["\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mKeyError\u001b[0m Traceback (most recent call last)", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\IPython\\core\\formatters.pyc\u001b[0m in \u001b[0;36m__call__\u001b[1;34m(self, obj)\u001b[0m\n\u001b[0;32m 693\u001b[0m \u001b[0mtype_pprinters\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mtype_printers\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 694\u001b[0m deferred_pprinters=self.deferred_printers)\n\u001b[1;32m--> 695\u001b[1;33m \u001b[0mprinter\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mpretty\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mobj\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 696\u001b[0m \u001b[0mprinter\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mflush\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 697\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mstream\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mgetvalue\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\IPython\\lib\\pretty.pyc\u001b[0m in \u001b[0;36mpretty\u001b[1;34m(self, obj)\u001b[0m\n\u001b[0;32m 399\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mcallable\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mmeth\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 400\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mmeth\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mobj\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcycle\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 401\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0m_default_pprint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mobj\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcycle\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 402\u001b[0m \u001b[1;32mfinally\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 403\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mend_group\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\IPython\\lib\\pretty.pyc\u001b[0m in \u001b[0;36m_default_pprint\u001b[1;34m(obj, p, cycle)\u001b[0m\n\u001b[0;32m 519\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0m_safe_getattr\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mklass\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'__repr__'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mNone\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[1;32min\u001b[0m \u001b[0m_baseclass_reprs\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 520\u001b[0m \u001b[1;31m# A user-provided repr. Find newlines and replace them with p.break_()\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 521\u001b[1;33m \u001b[0m_repr_pprint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mobj\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mp\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcycle\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 522\u001b[0m \u001b[1;32mreturn\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 523\u001b[0m \u001b[0mp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mbegin_group\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'<'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\IPython\\lib\\pretty.pyc\u001b[0m in \u001b[0;36m_repr_pprint\u001b[1;34m(obj, p, cycle)\u001b[0m\n\u001b[0;32m 701\u001b[0m \u001b[1;34m\"\"\"A pprint that just redirects to the normal repr function.\"\"\"\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 702\u001b[0m \u001b[1;31m# Find newlines and replace them with p.break_()\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 703\u001b[1;33m \u001b[0moutput\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mrepr\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mobj\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 704\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0midx\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0moutput_line\u001b[0m \u001b[1;32min\u001b[0m \u001b[0menumerate\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0moutput\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msplitlines\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 705\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0midx\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\ggplot\\ggplot.pyc\u001b[0m in \u001b[0;36m__repr__\u001b[1;34m(self)\u001b[0m\n\u001b[0;32m 109\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m__repr__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 110\u001b[0m \u001b[1;34m\"\"\"Print/show the plot\"\"\"\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 111\u001b[1;33m \u001b[0mfigure\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mdraw\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 112\u001b[0m \u001b[1;31m# We're going to default to making the plot appear when __repr__ is\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 113\u001b[0m \u001b[1;31m# called.\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\ggplot\\ggplot.pyc\u001b[0m in \u001b[0;36mdraw\u001b[1;34m(self)\u001b[0m\n\u001b[0;32m 352\u001b[0m \u001b[0max\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mxaxis\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mset_ticklabels\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mxtick_labels\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 353\u001b[0m \u001b[1;31m# need to handle cases when there's no geom_bar/hist\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 354\u001b[1;33m \u001b[1;32melif\u001b[0m \u001b[1;34m\"data\"\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mlocals\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;32mand\u001b[0m \u001b[1;33m(\u001b[0m\u001b[1;34m\"x\"\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mdata\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;32mand\u001b[0m \u001b[0misinstance\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdata\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m\"x\"\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdatetime\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mdate\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 355\u001b[0m \u001b[1;31m# \"did matplotlib do a decent job of making the label\" check\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 356\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mlog10\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0max\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_xticks\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m>\u001b[0m \u001b[1;36m6\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\pandas\\core\\series.pyc\u001b[0m in \u001b[0;36m__getitem__\u001b[1;34m(self, key)\u001b[0m\n\u001b[0;32m 507\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m__getitem__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mkey\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 508\u001b[0m \u001b[1;32mtry\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 509\u001b[1;33m \u001b[0mresult\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mindex\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_value\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mkey\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 510\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 511\u001b[0m \u001b[1;32mif\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0misscalar\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mresult\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\pandas\\core\\index.pyc\u001b[0m in \u001b[0;36mget_value\u001b[1;34m(self, series, key)\u001b[0m\n\u001b[0;32m 1415\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1416\u001b[0m \u001b[1;32mtry\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1417\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_engine\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_value\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0ms\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mk\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1418\u001b[0m \u001b[1;32mexcept\u001b[0m \u001b[0mKeyError\u001b[0m \u001b[1;32mas\u001b[0m \u001b[0me1\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1419\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m>\u001b[0m \u001b[1;36m0\u001b[0m \u001b[1;32mand\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0minferred_type\u001b[0m \u001b[1;32min\u001b[0m \u001b[1;33m[\u001b[0m\u001b[1;34m'integer'\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;34m'boolean'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mpandas\\index.pyx\u001b[0m in \u001b[0;36mpandas.index.IndexEngine.get_value (pandas\\index.c:3109)\u001b[1;34m()\u001b[0m\n", "\u001b[1;32mpandas\\index.pyx\u001b[0m in \u001b[0;36mpandas.index.IndexEngine.get_value (pandas\\index.c:2840)\u001b[1;34m()\u001b[0m\n", "\u001b[1;32mpandas\\index.pyx\u001b[0m in \u001b[0;36mpandas.index.IndexEngine.get_loc (pandas\\index.c:3700)\u001b[1;34m()\u001b[0m\n", "\u001b[1;32mpandas\\hashtable.pyx\u001b[0m in \u001b[0;36mpandas.hashtable.Int64HashTable.get_item (pandas\\hashtable.c:7229)\u001b[1;34m()\u001b[0m\n", "\u001b[1;32mpandas\\hashtable.pyx\u001b[0m in \u001b[0;36mpandas.hashtable.Int64HashTable.get_item (pandas\\hashtable.c:7167)\u001b[1;34m()\u001b[0m\n", "\u001b[1;31mKeyError\u001b[0m: 0L"], "output_type": "error"}, {"output_type": "display_data", "data": {"image/png": "iVBORw0KGgoAAAANSUhEUgAAAqsAAAHzCAYAAAAHLlT2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": "<matplotlib.figure.Figure at 0x20718290>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 68, "cell_type": "code", "source": "certi=bigdiamonds.groupby(\"cert\")", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 70, "cell_type": "code", "source": "certi.mean()", "outputs": [{"execution_count": 70, "output_type": "execute_result", "data": {"text/plain": " carat table depth price x y \\\ncert \nAGS 1.541315 56.559060 61.510615 14041.455375 6.771208 7.099966 \nEGL 1.555178 58.553025 62.042944 9922.133965 6.186729 7.104222 \nEGL ISRAEL 1.575869 58.162295 61.877356 9781.358464 7.151370 7.202344 \nEGL Intl. 1.472878 57.748214 61.611462 8964.943653 6.971325 6.997338 \nEGL USA 1.561697 57.485727 60.936625 10999.778843 7.093143 7.143957 \nGIA 0.997418 57.676309 61.655088 8681.642926 5.883144 6.069219 \nHRD 1.679021 57.830968 57.581864 16951.687500 6.995237 7.185487 \nIGI 0.877305 56.772684 54.794428 5309.890866 5.690697 5.741698 \nOTHER 1.212394 54.122195 58.471844 8008.277440 6.369640 6.412408 \n\n z newdata \ncert \nAGS 4.694529 7274.423876 \nEGL 5.362767 4974.516180 \nEGL ISRAEL 4.446176 5034.203046 \nEGL Intl. 4.327850 4696.446285 \nEGL USA 4.409165 5431.419306 \nGIA 3.928074 6058.856624 \nHRD 4.656997 7353.717449 \nIGI 3.618823 3840.667020 \nOTHER 3.954081 4719.449448 ", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>carat</th>\n <th>table</th>\n <th>depth</th>\n <th>price</th>\n <th>x</th>\n <th>y</th>\n <th>z</th>\n <th>newdata</th>\n </tr>\n <tr>\n <th>cert</th>\n <th></th>\n <th></th>\n <th></th>\n <th></th>\n <th></th>\n <th></th>\n <th></th>\n <th></th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>AGS</th>\n <td> 1.541315</td>\n <td> 56.559060</td>\n <td> 61.510615</td>\n <td> 14041.455375</td>\n <td> 6.771208</td>\n <td> 7.099966</td>\n <td> 4.694529</td>\n <td> 7274.423876</td>\n </tr>\n <tr>\n <th>EGL</th>\n <td> 1.555178</td>\n <td> 58.553025</td>\n <td> 62.042944</td>\n <td> 9922.133965</td>\n <td> 6.186729</td>\n <td> 7.104222</td>\n <td> 5.362767</td>\n <td> 4974.516180</td>\n </tr>\n <tr>\n <th>EGL ISRAEL</th>\n <td> 1.575869</td>\n <td> 58.162295</td>\n <td> 61.877356</td>\n <td> 9781.358464</td>\n <td> 7.151370</td>\n <td> 7.202344</td>\n <td> 4.446176</td>\n <td> 5034.203046</td>\n </tr>\n <tr>\n <th>EGL Intl.</th>\n <td> 1.472878</td>\n <td> 57.748214</td>\n <td> 61.611462</td>\n <td> 8964.943653</td>\n <td> 6.971325</td>\n <td> 6.997338</td>\n <td> 4.327850</td>\n <td> 4696.446285</td>\n </tr>\n <tr>\n <th>EGL USA</th>\n <td> 1.561697</td>\n <td> 57.485727</td>\n <td> 60.936625</td>\n <td> 10999.778843</td>\n <td> 7.093143</td>\n <td> 7.143957</td>\n <td> 4.409165</td>\n <td> 5431.419306</td>\n </tr>\n <tr>\n <th>GIA</th>\n <td> 0.997418</td>\n <td> 57.676309</td>\n <td> 61.655088</td>\n <td> 8681.642926</td>\n <td> 5.883144</td>\n <td> 6.069219</td>\n <td> 3.928074</td>\n <td> 6058.856624</td>\n </tr>\n <tr>\n <th>HRD</th>\n <td> 1.679021</td>\n <td> 57.830968</td>\n <td> 57.581864</td>\n <td> 16951.687500</td>\n <td> 6.995237</td>\n <td> 7.185487</td>\n <td> 4.656997</td>\n <td> 7353.717449</td>\n </tr>\n <tr>\n <th>IGI</th>\n <td> 0.877305</td>\n <td> 56.772684</td>\n <td> 54.794428</td>\n <td> 5309.890866</td>\n <td> 5.690697</td>\n <td> 5.741698</td>\n <td> 3.618823</td>\n <td> 3840.667020</td>\n </tr>\n <tr>\n <th>OTHER</th>\n <td> 1.212394</td>\n <td> 54.122195</td>\n <td> 58.471844</td>\n <td> 8008.277440</td>\n <td> 6.369640</td>\n <td> 6.412408</td>\n <td> 3.954081</td>\n <td> 4719.449448</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 71, "cell_type": "code", "source": "cleandiamonds.columns = ['a', 'b','c','d','e','f','g','h','i','j','k','l','m']", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 72, "cell_type": "code", "source": "cleandiamonds.info()", "outputs": [{"output_type": "stream", "name": "stdout", "text": "<class 'pandas.core.frame.DataFrame'>\nInt64Index: 593784 entries, 0 to 593783\nData columns (total 13 columns):\na 593784 non-null float64\nb 593784 non-null object\nc 593784 non-null object\nd 593784 non-null object\ne 593784 non-null float64\nf 593784 non-null float64\ng 593784 non-null object\nh 593784 non-null object\ni 593784 non-null float64\nj 593784 non-null float64\nk 593784 non-null float64\nl 593784 non-null float64\nm 593784 non-null float64\ndtypes: float64(8), object(5)\nmemory usage: 52.1+ MB\n"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 77, "cell_type": "code", "source": "import seaborn as sns", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 80, "cell_type": "code", "source": "sns.jointplot(bigdiamonds[\"price\"], bigdiamonds[\"carat\"])", "outputs": [{"execution_count": 80, "output_type": "execute_result", "data": {"text/plain": "<seaborn.axisgrid.JointGrid at 0x207230b0>"}, "metadata": {}}, {"output_type": "display_data", "data": {"image/png": "iVBORw0KGgoAAAANSUhEUgAAAacAAAGqCAYAAACvcYtGAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": "<matplotlib.figure.Figure at 0x2e72d370>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 82, "cell_type": "code", "source": "sns.kdeplot(bigdiamonds[\"price\"], shade=True)", "outputs": [{"execution_count": 82, "output_type": "execute_result", "data": {"text/plain": "<matplotlib.axes._subplots.AxesSubplot at 0x3605ec30>"}, "metadata": {}}, {"output_type": "display_data", "data": {"image/png": "iVBORw0KGgoAAAANSUhEUgAAAg4AAAFXCAYAAADK0sabAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n2PYtNtUAAAAASUVORK5CYII=\n", "text/plain": "<matplotlib.figure.Figure at 0x35ec15b0>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 83, "cell_type": "code", "source": "c1, c2, c3 = sns.color_palette(\"Set1\", 3)", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 85, "cell_type": "code", "source": "sns.kdeplot(bigdiamonds[\"table\"], shade=True, color=c1)\nsns.kdeplot(bigdiamonds[\"depth\"], shade=True, color=c3)", "outputs": [{"execution_count": 85, "output_type": "execute_result", "data": {"text/plain": "<matplotlib.axes._subplots.AxesSubplot at 0x35e3cc50>"}, "metadata": {}}, {"output_type": "display_data", "data": {"image/png": "iVBORw0KGgoAAAANSUhEUgAAAegAAAFXCAYAAABpzN2sAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": "<matplotlib.figure.Figure at 0x289422d0>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 86, "cell_type": "code", "source": "import statsmodels.api as sm", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 87, "cell_type": "code", "source": "model = sm.OLS(bigdiamonds[\"price\"],bigdiamonds[\"carat\"])", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 88, "cell_type": "code", "source": "results = model.fit()", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 89, "cell_type": "code", "source": "model", "outputs": [{"execution_count": 89, "output_type": "execute_result", "data": {"text/plain": "<statsmodels.regression.linear_model.OLS at 0x2025c910>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 93, "cell_type": "code", "source": "print(results.summary())", "outputs": [{"output_type": "stream", "name": "stdout", "text": " OLS Regression Results \n==============================================================================\nDep. Variable: price R-squared: nan\nModel: OLS Adj. R-squared: nan\nMethod: Least Squares F-statistic: nan\nDate: Thu, 25 Jun 2015 Prob (F-statistic): nan\nTime: 19:37:46 Log-Likelihood: nan\nNo. Observations: 598024 AIC: nan\nDf Residuals: 598023 BIC: nan\nDf Model: 1 \nCovariance Type: nonrobust \n==============================================================================\n coef std err t P>|t| [95.0% Conf. Int.]\n------------------------------------------------------------------------------\ncarat nan nan nan nan nan nan\n==============================================================================\nOmnibus: nan Durbin-Watson: nan\nProb(Omnibus): nan Jarque-Bera (JB): nan\nSkew: nan Prob(JB): nan\nKurtosis: nan Cond. No. 1.00\n==============================================================================\n\nWarnings:\n[1] Standard Errors assume that the covariance matrix of the errors is correctly specified.\n"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 96, "cell_type": "code", "source": "cleandiamonds2=bigdiamonds[[\"price\",\"carat\"]]\ncleandiamonds2.describe()", "outputs": [{"execution_count": 96, "output_type": "execute_result", "data": {"text/plain": " price carat\ncount 597311.000000 598024.000000\nmean 8753.017974 1.071297\nstd 13017.567760 0.812696\nmin 300.000000 0.200000\n25% 1220.000000 0.500000\n50% 3503.000000 0.900000\n75% 11174.000000 1.500000\nmax 99990.000000 9.250000", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>price</th>\n <th>carat</th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>count</th>\n <td> 597311.000000</td>\n <td> 598024.000000</td>\n </tr>\n <tr>\n <th>mean</th>\n <td> 8753.017974</td>\n <td> 1.071297</td>\n </tr>\n <tr>\n <th>std</th>\n <td> 13017.567760</td>\n <td> 0.812696</td>\n </tr>\n <tr>\n <th>min</th>\n <td> 300.000000</td>\n <td> 0.200000</td>\n </tr>\n <tr>\n <th>25%</th>\n <td> 1220.000000</td>\n <td> 0.500000</td>\n </tr>\n <tr>\n <th>50%</th>\n <td> 3503.000000</td>\n <td> 0.900000</td>\n </tr>\n <tr>\n <th>75%</th>\n <td> 11174.000000</td>\n <td> 1.500000</td>\n </tr>\n <tr>\n <th>max</th>\n <td> 99990.000000</td>\n <td> 9.250000</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": null, "cell_type": "code", "source": "#example of help is sm.OLS?", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 97, "cell_type": "code", "source": "X = sm.add_constant(X)\n\nmodel = sm.OLS(cleandiamonds2[\"price\"],cleandiamonds2[\"carat\"])\nresults = model.fit()\nprint(results.summary())\n", "outputs": [{"output_type": "stream", "name": "stdout", "text": " OLS Regression Results \n==============================================================================\nDep. Variable: price R-squared: nan\nModel: OLS Adj. R-squared: nan\nMethod: Least Squares F-statistic: nan\nDate: Thu, 25 Jun 2015 Prob (F-statistic): nan\nTime: 19:41:24 Log-Likelihood: nan\nNo. Observations: 598024 AIC: nan\nDf Residuals: 598023 BIC: nan\nDf Model: 1 \nCovariance Type: nonrobust \n==============================================================================\n coef std err t P>|t| [95.0% Conf. Int.]\n------------------------------------------------------------------------------\ncarat nan nan nan nan nan nan\n==============================================================================\nOmnibus: nan Durbin-Watson: nan\nProb(Omnibus): nan Jarque-Bera (JB): nan\nSkew: nan Prob(JB): nan\nKurtosis: nan Cond. No. 1.00\n==============================================================================\n\nWarnings:\n[1] Standard Errors assume that the covariance matrix of the errors is correctly specified.\n"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 107, "cell_type": "code", "source": "import sklearn as sk\nfrom sklearn import linear_model\n", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 108, "cell_type": "code", "source": "regr = linear_model.LinearRegression()\n", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 109, "cell_type": "code", "source": "regr.fit(cleandiamonds2[\"price\"], cleandiamonds2[\"carat\"])\n", "outputs": [{"ename": "ValueError", "evalue": "Input contains NaN, infinity or a value too large for dtype('float64').", "traceback": ["\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mValueError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-109-f5a4d1b78a78>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mregr\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mfit\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcleandiamonds2\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m\"price\"\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcleandiamonds2\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m\"carat\"\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\sklearn\\linear_model\\base.pyc\u001b[0m in \u001b[0;36mfit\u001b[1;34m(self, X, y, n_jobs)\u001b[0m\n\u001b[0;32m 349\u001b[0m \u001b[0mself\u001b[0m \u001b[1;33m:\u001b[0m \u001b[0mreturns\u001b[0m \u001b[0man\u001b[0m \u001b[0minstance\u001b[0m \u001b[0mof\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 350\u001b[0m \"\"\"\n\u001b[1;32m--> 351\u001b[1;33m \u001b[0mX\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0msafe_asarray\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mX\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 352\u001b[0m \u001b[0my\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0masarray\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0my\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 353\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\sklearn\\utils\\validation.pyc\u001b[0m in \u001b[0;36msafe_asarray\u001b[1;34m(X, dtype, order, copy, force_all_finite)\u001b[0m\n\u001b[0;32m 77\u001b[0m \u001b[0mX\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0marray\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mX\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdtype\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mdtype\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0morder\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0morder\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcopy\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mcopy\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 78\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mforce_all_finite\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 79\u001b[1;33m \u001b[0m_assert_all_finite\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mX\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 80\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mX\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 81\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda\\lib\\site-packages\\sklearn\\utils\\validation.pyc\u001b[0m in \u001b[0;36m_assert_all_finite\u001b[1;34m(X)\u001b[0m\n\u001b[0;32m 41\u001b[0m and not np.isfinite(X).all()):\n\u001b[0;32m 42\u001b[0m raise ValueError(\"Input contains NaN, infinity\"\n\u001b[1;32m---> 43\u001b[1;33m \" or a value too large for %r.\" % X.dtype)\n\u001b[0m\u001b[0;32m 44\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 45\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mValueError\u001b[0m: Input contains NaN, infinity or a value too large for dtype('float64')."], "output_type": "error"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 57, "cell_type": "code", "source": "import sklearn as sk\nBoston=sk.load_boston()", "outputs": [{"ename": "AttributeError", "evalue": "'module' object has no attribute 'load_boston'", "traceback": ["\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mAttributeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-57-b8929ce8b644>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[0;32m 1\u001b[0m \u001b[1;32mimport\u001b[0m \u001b[0msklearn\u001b[0m \u001b[1;32mas\u001b[0m \u001b[0msk\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 2\u001b[1;33m \u001b[0mBoston\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0msk\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mload_boston\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mAttributeError\u001b[0m: 'module' object has no attribute 'load_boston'"], "output_type": "error"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 59, "cell_type": "code", "source": "from sklearn.datasets import load_boston\nBoston=sk.datasets.load_boston()\n", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 63, "cell_type": "code", "source": "Boston", "outputs": [{"execution_count": 63, "output_type": "execute_result", "data": {"text/plain": "{'DESCR': \"Boston House Prices dataset\\n\\nNotes\\n------\\nData Set Characteristics: \\n\\n :Number of Instances: 506 \\n\\n :Number of Attributes: 13 numeric/categorical predictive\\n \\n :Median Value (attribute 14) is usually the target\\n\\n :Attribute Information (in order):\\n - CRIM per capita crime rate by town\\n - ZN proportion of residential land zoned for lots over 25,000 sq.ft.\\n - INDUS proportion of non-retail business acres per town\\n - CHAS Charles River dummy variable (= 1 if tract bounds river; 0 otherwise)\\n - NOX nitric oxides concentration (parts per 10 million)\\n - RM average number of rooms per dwelling\\n - AGE proportion of owner-occupied units built prior to 1940\\n - DIS weighted distances to five Boston employment centres\\n - RAD index of accessibility to radial highways\\n - TAX full-value property-tax rate per $10,000\\n - PTRATIO pupil-teacher ratio by town\\n - B 1000(Bk - 0.63)^2 where Bk is the proportion of blacks by town\\n - LSTAT % lower status of the population\\n - MEDV Median value of owner-occupied homes in $1000's\\n\\n :Missing Attribute Values: None\\n\\n :Creator: Harrison, D. and Rubinfeld, D.L.\\n\\nThis is a copy of UCI ML housing dataset.\\nhttp://archive.ics.uci.edu/ml/datasets/Housing\\n\\n\\nThis dataset was taken from the StatLib library which is maintained at Carnegie Mellon University.\\n\\nThe Boston house-price data of Harrison, D. and Rubinfeld, D.L. 'Hedonic\\nprices and the demand for clean air', J. Environ. Economics & Management,\\nvol.5, 81-102, 1978. Used in Belsley, Kuh & Welsch, 'Regression diagnostics\\n...', Wiley, 1980. N.B. Various transformations are used in the table on\\npages 244-261 of the latter.\\n\\nThe Boston house-price data has been used in many machine learning papers that address regression\\nproblems. \\n \\n**References**\\n\\n - Belsley, Kuh & Welsch, 'Regression diagnostics: Identifying Influential Data and Sources of Collinearity', Wiley, 1980. 244-261.\\n - Quinlan,R. (1993). Combining Instance-Based and Model-Based Learning. In Proceedings on the Tenth International Conference of Machine Learning, 236-243, University of Massachusetts, Amherst. Morgan Kaufmann.\\n - many more! (see http://archive.ics.uci.edu/ml/datasets/Housing)\\n\",\n 'data': array([[ 6.32000000e-03, 1.80000000e+01, 2.31000000e+00, ...,\n 1.53000000e+01, 3.96900000e+02, 4.98000000e+00],\n [ 2.73100000e-02, 0.00000000e+00, 7.07000000e+00, ...,\n 1.78000000e+01, 3.96900000e+02, 9.14000000e+00],\n [ 2.72900000e-02, 0.00000000e+00, 7.07000000e+00, ...,\n 1.78000000e+01, 3.92830000e+02, 4.03000000e+00],\n ..., \n [ 6.07600000e-02, 0.00000000e+00, 1.19300000e+01, ...,\n 2.10000000e+01, 3.96900000e+02, 5.64000000e+00],\n [ 1.09590000e-01, 0.00000000e+00, 1.19300000e+01, ...,\n 2.10000000e+01, 3.93450000e+02, 6.48000000e+00],\n [ 4.74100000e-02, 0.00000000e+00, 1.19300000e+01, ...,\n 2.10000000e+01, 3.96900000e+02, 7.88000000e+00]]),\n 'feature_names': array(['CRIM', 'ZN', 'INDUS', 'CHAS', 'NOX', 'RM', 'AGE', 'DIS', 'RAD',\n 'TAX', 'PTRATIO', 'B', 'LSTAT'], \n dtype='|S7'),\n 'target': array([ 24. , 21.6, 34.7, 33.4, 36.2, 28.7, 22.9, 27.1, 16.5,\n 18.9, 15. , 18.9, 21.7, 20.4, 18.2, 19.9, 23.1, 17.5,\n 20.2, 18.2, 13.6, 19.6, 15.2, 14.5, 15.6, 13.9, 16.6,\n 14.8, 18.4, 21. , 12.7, 14.5, 13.2, 13.1, 13.5, 18.9,\n 20. , 21. , 24.7, 30.8, 34.9, 26.6, 25.3, 24.7, 21.2,\n 19.3, 20. , 16.6, 14.4, 19.4, 19.7, 20.5, 25. , 23.4,\n 18.9, 35.4, 24.7, 31.6, 23.3, 19.6, 18.7, 16. , 22.2,\n 25. , 33. , 23.5, 19.4, 22. , 17.4, 20.9, 24.2, 21.7,\n 22.8, 23.4, 24.1, 21.4, 20. , 20.8, 21.2, 20.3, 28. ,\n 23.9, 24.8, 22.9, 23.9, 26.6, 22.5, 22.2, 23.6, 28.7,\n 22.6, 22. , 22.9, 25. , 20.6, 28.4, 21.4, 38.7, 43.8,\n 33.2, 27.5, 26.5, 18.6, 19.3, 20.1, 19.5, 19.5, 20.4,\n 19.8, 19.4, 21.7, 22.8, 18.8, 18.7, 18.5, 18.3, 21.2,\n 19.2, 20.4, 19.3, 22. , 20.3, 20.5, 17.3, 18.8, 21.4,\n 15.7, 16.2, 18. , 14.3, 19.2, 19.6, 23. , 18.4, 15.6,\n 18.1, 17.4, 17.1, 13.3, 17.8, 14. , 14.4, 13.4, 15.6,\n 11.8, 13.8, 15.6, 14.6, 17.8, 15.4, 21.5, 19.6, 15.3,\n 19.4, 17. , 15.6, 13.1, 41.3, 24.3, 23.3, 27. , 50. ,\n 50. , 50. , 22.7, 25. , 50. , 23.8, 23.8, 22.3, 17.4,\n 19.1, 23.1, 23.6, 22.6, 29.4, 23.2, 24.6, 29.9, 37.2,\n 39.8, 36.2, 37.9, 32.5, 26.4, 29.6, 50. , 32. , 29.8,\n 34.9, 37. , 30.5, 36.4, 31.1, 29.1, 50. , 33.3, 30.3,\n 34.6, 34.9, 32.9, 24.1, 42.3, 48.5, 50. , 22.6, 24.4,\n 22.5, 24.4, 20. , 21.7, 19.3, 22.4, 28.1, 23.7, 25. ,\n 23.3, 28.7, 21.5, 23. , 26.7, 21.7, 27.5, 30.1, 44.8,\n 50. , 37.6, 31.6, 46.7, 31.5, 24.3, 31.7, 41.7, 48.3,\n 29. , 24. , 25.1, 31.5, 23.7, 23.3, 22. , 20.1, 22.2,\n 23.7, 17.6, 18.5, 24.3, 20.5, 24.5, 26.2, 24.4, 24.8,\n 29.6, 42.8, 21.9, 20.9, 44. , 50. , 36. , 30.1, 33.8,\n 43.1, 48.8, 31. , 36.5, 22.8, 30.7, 50. , 43.5, 20.7,\n 21.1, 25.2, 24.4, 35.2, 32.4, 32. , 33.2, 33.1, 29.1,\n 35.1, 45.4, 35.4, 46. , 50. , 32.2, 22. , 20.1, 23.2,\n 22.3, 24.8, 28.5, 37.3, 27.9, 23.9, 21.7, 28.6, 27.1,\n 20.3, 22.5, 29. , 24.8, 22. , 26.4, 33.1, 36.1, 28.4,\n 33.4, 28.2, 22.8, 20.3, 16.1, 22.1, 19.4, 21.6, 23.8,\n 16.2, 17.8, 19.8, 23.1, 21. , 23.8, 23.1, 20.4, 18.5,\n 25. , 24.6, 23. , 22.2, 19.3, 22.6, 19.8, 17.1, 19.4,\n 22.2, 20.7, 21.1, 19.5, 18.5, 20.6, 19. , 18.7, 32.7,\n 16.5, 23.9, 31.2, 17.5, 17.2, 23.1, 24.5, 26.6, 22.9,\n 24.1, 18.6, 30.1, 18.2, 20.6, 17.8, 21.7, 22.7, 22.6,\n 25. , 19.9, 20.8, 16.8, 21.9, 27.5, 21.9, 23.1, 50. ,\n 50. , 50. , 50. , 50. , 13.8, 13.8, 15. , 13.9, 13.3,\n 13.1, 10.2, 10.4, 10.9, 11.3, 12.3, 8.8, 7.2, 10.5,\n 7.4, 10.2, 11.5, 15.1, 23.2, 9.7, 13.8, 12.7, 13.1,\n 12.5, 8.5, 5. , 6.3, 5.6, 7.2, 12.1, 8.3, 8.5,\n 5. , 11.9, 27.9, 17.2, 27.5, 15. , 17.2, 17.9, 16.3,\n 7. , 7.2, 7.5, 10.4, 8.8, 8.4, 16.7, 14.2, 20.8,\n 13.4, 11.7, 8.3, 10.2, 10.9, 11. , 9.5, 14.5, 14.1,\n 16.1, 14.3, 11.7, 13.4, 9.6, 8.7, 8.4, 12.8, 10.5,\n 17.1, 18.4, 15.4, 10.8, 11.8, 14.9, 12.6, 14.1, 13. ,\n 13.4, 15.2, 16.1, 17.8, 14.9, 14.1, 12.7, 13.5, 14.9,\n 20. , 16.4, 17.7, 19.5, 20.2, 21.4, 19.9, 19. , 19.1,\n 19.1, 20.1, 19.9, 19.6, 23.2, 29.8, 13.8, 13.3, 16.7,\n 12. , 14.6, 21.4, 23. , 23.7, 25. , 21.8, 20.6, 21.2,\n 19.1, 20.6, 15.2, 7. , 8.1, 13.6, 20.1, 21.8, 24.5,\n 23.1, 19.7, 18.3, 21.2, 17.5, 16.8, 22.4, 20.6, 23.9,\n 22. , 11.9])}"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 65, "cell_type": "code", "source": "Boston.columns()", "outputs": [{"ename": "AttributeError", "evalue": "'Bunch' object has no attribute 'columns'", "traceback": ["\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mAttributeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-65-06cf21488c6b>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mBoston\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mcolumns\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mAttributeError\u001b[0m: 'Bunch' object has no attribute 'columns'"], "output_type": "error"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 71, "cell_type": "code", "source": "type(Boston)", "outputs": [{"execution_count": 71, "output_type": "execute_result", "data": {"text/plain": "sklearn.datasets.base.Bunch"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 81, "cell_type": "code", "source": "Boston2=np.array(Boston)\nBoston2", "outputs": [{"execution_count": 81, "output_type": "execute_result", "data": {"text/plain": "array({'data': array([[ 6.32000000e-03, 1.80000000e+01, 2.31000000e+00, ...,\n 1.53000000e+01, 3.96900000e+02, 4.98000000e+00],\n [ 2.73100000e-02, 0.00000000e+00, 7.07000000e+00, ...,\n 1.78000000e+01, 3.96900000e+02, 9.14000000e+00],\n [ 2.72900000e-02, 0.00000000e+00, 7.07000000e+00, ...,\n 1.78000000e+01, 3.92830000e+02, 4.03000000e+00],\n ..., \n [ 6.07600000e-02, 0.00000000e+00, 1.19300000e+01, ...,\n 2.10000000e+01, 3.96900000e+02, 5.64000000e+00],\n [ 1.09590000e-01, 0.00000000e+00, 1.19300000e+01, ...,\n 2.10000000e+01, 3.93450000e+02, 6.48000000e+00],\n [ 4.74100000e-02, 0.00000000e+00, 1.19300000e+01, ...,\n 2.10000000e+01, 3.96900000e+02, 7.88000000e+00]]), 'feature_names': array(['CRIM', 'ZN', 'INDUS', 'CHAS', 'NOX', 'RM', 'AGE', 'DIS', 'RAD',\n 'TAX', 'PTRATIO', 'B', 'LSTAT'], \n dtype='|S7'), 'DESCR': \"Boston House Prices dataset\\n\\nNotes\\n------\\nData Set Characteristics: \\n\\n :Number of Instances: 506 \\n\\n :Number of Attributes: 13 numeric/categorical predictive\\n \\n :Median Value (attribute 14) is usually the target\\n\\n :Attribute Information (in order):\\n - CRIM per capita crime rate by town\\n - ZN proportion of residential land zoned for lots over 25,000 sq.ft.\\n - INDUS proportion of non-retail business acres per town\\n - CHAS Charles River dummy variable (= 1 if tract bounds river; 0 otherwise)\\n - NOX nitric oxides concentration (parts per 10 million)\\n - RM average number of rooms per dwelling\\n - AGE proportion of owner-occupied units built prior to 1940\\n - DIS weighted distances to five Boston employment centres\\n - RAD index of accessibility to radial highways\\n - TAX full-value property-tax rate per $10,000\\n - PTRATIO pupil-teacher ratio by town\\n - B 1000(Bk - 0.63)^2 where Bk is the proportion of blacks by town\\n - LSTAT % lower status of the population\\n - MEDV Median value of owner-occupied homes in $1000's\\n\\n :Missing Attribute Values: None\\n\\n :Creator: Harrison, D. and Rubinfeld, D.L.\\n\\nThis is a copy of UCI ML housing dataset.\\nhttp://archive.ics.uci.edu/ml/datasets/Housing\\n\\n\\nThis dataset was taken from the StatLib library which is maintained at Carnegie Mellon University.\\n\\nThe Boston house-price data of Harrison, D. and Rubinfeld, D.L. 'Hedonic\\nprices and the demand for clean air', J. Environ. Economics & Management,\\nvol.5, 81-102, 1978. Used in Belsley, Kuh & Welsch, 'Regression diagnostics\\n...', Wiley, 1980. N.B. Various transformations are used in the table on\\npages 244-261 of the latter.\\n\\nThe Boston house-price data has been used in many machine learning papers that address regression\\nproblems. \\n \\n**References**\\n\\n - Belsley, Kuh & Welsch, 'Regression diagnostics: Identifying Influential Data and Sources of Collinearity', Wiley, 1980. 244-261.\\n - Quinlan,R. (1993). Combining Instance-Based and Model-Based Learning. In Proceedings on the Tenth International Conference of Machine Learning, 236-243, University of Massachusetts, Amherst. Morgan Kaufmann.\\n - many more! (see http://archive.ics.uci.edu/ml/datasets/Housing)\\n\", 'target': array([ 24. , 21.6, 34.7, 33.4, 36.2, 28.7, 22.9, 27.1, 16.5,\n 18.9, 15. , 18.9, 21.7, 20.4, 18.2, 19.9, 23.1, 17.5,\n 20.2, 18.2, 13.6, 19.6, 15.2, 14.5, 15.6, 13.9, 16.6,\n 14.8, 18.4, 21. , 12.7, 14.5, 13.2, 13.1, 13.5, 18.9,\n 20. , 21. , 24.7, 30.8, 34.9, 26.6, 25.3, 24.7, 21.2,\n 19.3, 20. , 16.6, 14.4, 19.4, 19.7, 20.5, 25. , 23.4,\n 18.9, 35.4, 24.7, 31.6, 23.3, 19.6, 18.7, 16. , 22.2,\n 25. , 33. , 23.5, 19.4, 22. , 17.4, 20.9, 24.2, 21.7,\n 22.8, 23.4, 24.1, 21.4, 20. , 20.8, 21.2, 20.3, 28. ,\n 23.9, 24.8, 22.9, 23.9, 26.6, 22.5, 22.2, 23.6, 28.7,\n 22.6, 22. , 22.9, 25. , 20.6, 28.4, 21.4, 38.7, 43.8,\n 33.2, 27.5, 26.5, 18.6, 19.3, 20.1, 19.5, 19.5, 20.4,\n 19.8, 19.4, 21.7, 22.8, 18.8, 18.7, 18.5, 18.3, 21.2,\n 19.2, 20.4, 19.3, 22. , 20.3, 20.5, 17.3, 18.8, 21.4,\n 15.7, 16.2, 18. , 14.3, 19.2, 19.6, 23. , 18.4, 15.6,\n 18.1, 17.4, 17.1, 13.3, 17.8, 14. , 14.4, 13.4, 15.6,\n 11.8, 13.8, 15.6, 14.6, 17.8, 15.4, 21.5, 19.6, 15.3,\n 19.4, 17. , 15.6, 13.1, 41.3, 24.3, 23.3, 27. , 50. ,\n 50. , 50. , 22.7, 25. , 50. , 23.8, 23.8, 22.3, 17.4,\n 19.1, 23.1, 23.6, 22.6, 29.4, 23.2, 24.6, 29.9, 37.2,\n 39.8, 36.2, 37.9, 32.5, 26.4, 29.6, 50. , 32. , 29.8,\n 34.9, 37. , 30.5, 36.4, 31.1, 29.1, 50. , 33.3, 30.3,\n 34.6, 34.9, 32.9, 24.1, 42.3, 48.5, 50. , 22.6, 24.4,\n 22.5, 24.4, 20. , 21.7, 19.3, 22.4, 28.1, 23.7, 25. ,\n 23.3, 28.7, 21.5, 23. , 26.7, 21.7, 27.5, 30.1, 44.8,\n 50. , 37.6, 31.6, 46.7, 31.5, 24.3, 31.7, 41.7, 48.3,\n 29. , 24. , 25.1, 31.5, 23.7, 23.3, 22. , 20.1, 22.2,\n 23.7, 17.6, 18.5, 24.3, 20.5, 24.5, 26.2, 24.4, 24.8,\n 29.6, 42.8, 21.9, 20.9, 44. , 50. , 36. , 30.1, 33.8,\n 43.1, 48.8, 31. , 36.5, 22.8, 30.7, 50. , 43.5, 20.7,\n 21.1, 25.2, 24.4, 35.2, 32.4, 32. , 33.2, 33.1, 29.1,\n 35.1, 45.4, 35.4, 46. , 50. , 32.2, 22. , 20.1, 23.2,\n 22.3, 24.8, 28.5, 37.3, 27.9, 23.9, 21.7, 28.6, 27.1,\n 20.3, 22.5, 29. , 24.8, 22. , 26.4, 33.1, 36.1, 28.4,\n 33.4, 28.2, 22.8, 20.3, 16.1, 22.1, 19.4, 21.6, 23.8,\n 16.2, 17.8, 19.8, 23.1, 21. , 23.8, 23.1, 20.4, 18.5,\n 25. , 24.6, 23. , 22.2, 19.3, 22.6, 19.8, 17.1, 19.4,\n 22.2, 20.7, 21.1, 19.5, 18.5, 20.6, 19. , 18.7, 32.7,\n 16.5, 23.9, 31.2, 17.5, 17.2, 23.1, 24.5, 26.6, 22.9,\n 24.1, 18.6, 30.1, 18.2, 20.6, 17.8, 21.7, 22.7, 22.6,\n 25. , 19.9, 20.8, 16.8, 21.9, 27.5, 21.9, 23.1, 50. ,\n 50. , 50. , 50. , 50. , 13.8, 13.8, 15. , 13.9, 13.3,\n 13.1, 10.2, 10.4, 10.9, 11.3, 12.3, 8.8, 7.2, 10.5,\n 7.4, 10.2, 11.5, 15.1, 23.2, 9.7, 13.8, 12.7, 13.1,\n 12.5, 8.5, 5. , 6.3, 5.6, 7.2, 12.1, 8.3, 8.5,\n 5. , 11.9, 27.9, 17.2, 27.5, 15. , 17.2, 17.9, 16.3,\n 7. , 7.2, 7.5, 10.4, 8.8, 8.4, 16.7, 14.2, 20.8,\n 13.4, 11.7, 8.3, 10.2, 10.9, 11. , 9.5, 14.5, 14.1,\n 16.1, 14.3, 11.7, 13.4, 9.6, 8.7, 8.4, 12.8, 10.5,\n 17.1, 18.4, 15.4, 10.8, 11.8, 14.9, 12.6, 14.1, 13. ,\n 13.4, 15.2, 16.1, 17.8, 14.9, 14.1, 12.7, 13.5, 14.9,\n 20. , 16.4, 17.7, 19.5, 20.2, 21.4, 19.9, 19. , 19.1,\n 19.1, 20.1, 19.9, 19.6, 23.2, 29.8, 13.8, 13.3, 16.7,\n 12. , 14.6, 21.4, 23. , 23.7, 25. , 21.8, 20.6, 21.2,\n 19.1, 20.6, 15.2, 7. , 8.1, 13.6, 20.1, 21.8, 24.5,\n 23.1, 19.7, 18.3, 21.2, 17.5, 16.8, 22.4, 20.6, 23.9,\n 22. , 11.9])}, dtype=object)"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 82, "cell_type": "code", "source": "print(Boston)\n", "outputs": [{"output_type": "stream", "name": "stdout", "text": "{'data': array([[ 6.32000000e-03, 1.80000000e+01, 2.31000000e+00, ...,\n 1.53000000e+01, 3.96900000e+02, 4.98000000e+00],\n [ 2.73100000e-02, 0.00000000e+00, 7.07000000e+00, ...,\n 1.78000000e+01, 3.96900000e+02, 9.14000000e+00],\n [ 2.72900000e-02, 0.00000000e+00, 7.07000000e+00, ...,\n 1.78000000e+01, 3.92830000e+02, 4.03000000e+00],\n ..., \n [ 6.07600000e-02, 0.00000000e+00, 1.19300000e+01, ...,\n 2.10000000e+01, 3.96900000e+02, 5.64000000e+00],\n [ 1.09590000e-01, 0.00000000e+00, 1.19300000e+01, ...,\n 2.10000000e+01, 3.93450000e+02, 6.48000000e+00],\n [ 4.74100000e-02, 0.00000000e+00, 1.19300000e+01, ...,\n 2.10000000e+01, 3.96900000e+02, 7.88000000e+00]]), 'feature_names': array(['CRIM', 'ZN', 'INDUS', 'CHAS', 'NOX', 'RM', 'AGE', 'DIS', 'RAD',\n 'TAX', 'PTRATIO', 'B', 'LSTAT'], \n dtype='|S7'), 'DESCR': \"Boston House Prices dataset\\n\\nNotes\\n------\\nData Set Characteristics: \\n\\n :Number of Instances: 506 \\n\\n :Number of Attributes: 13 numeric/categorical predictive\\n \\n :Median Value (attribute 14) is usually the target\\n\\n :Attribute Information (in order):\\n - CRIM per capita crime rate by town\\n - ZN proportion of residential land zoned for lots over 25,000 sq.ft.\\n - INDUS proportion of non-retail business acres per town\\n - CHAS Charles River dummy variable (= 1 if tract bounds river; 0 otherwise)\\n - NOX nitric oxides concentration (parts per 10 million)\\n - RM average number of rooms per dwelling\\n - AGE proportion of owner-occupied units built prior to 1940\\n - DIS weighted distances to five Boston employment centres\\n - RAD index of accessibility to radial highways\\n - TAX full-value property-tax rate per $10,000\\n - PTRATIO pupil-teacher ratio by town\\n - B 1000(Bk - 0.63)^2 where Bk is the proportion of blacks by town\\n - LSTAT % lower status of the population\\n - MEDV Median value of owner-occupied homes in $1000's\\n\\n :Missing Attribute Values: None\\n\\n :Creator: Harrison, D. and Rubinfeld, D.L.\\n\\nThis is a copy of UCI ML housing dataset.\\nhttp://archive.ics.uci.edu/ml/datasets/Housing\\n\\n\\nThis dataset was taken from the StatLib library which is maintained at Carnegie Mellon University.\\n\\nThe Boston house-price data of Harrison, D. and Rubinfeld, D.L. 'Hedonic\\nprices and the demand for clean air', J. Environ. Economics & Management,\\nvol.5, 81-102, 1978. Used in Belsley, Kuh & Welsch, 'Regression diagnostics\\n...', Wiley, 1980. N.B. Various transformations are used in the table on\\npages 244-261 of the latter.\\n\\nThe Boston house-price data has been used in many machine learning papers that address regression\\nproblems. \\n \\n**References**\\n\\n - Belsley, Kuh & Welsch, 'Regression diagnostics: Identifying Influential Data and Sources of Collinearity', Wiley, 1980. 244-261.\\n - Quinlan,R. (1993). Combining Instance-Based and Model-Based Learning. In Proceedings on the Tenth International Conference of Machine Learning, 236-243, University of Massachusetts, Amherst. Morgan Kaufmann.\\n - many more! (see http://archive.ics.uci.edu/ml/datasets/Housing)\\n\", 'target': array([ 24. , 21.6, 34.7, 33.4, 36.2, 28.7, 22.9, 27.1, 16.5,\n 18.9, 15. , 18.9, 21.7, 20.4, 18.2, 19.9, 23.1, 17.5,\n 20.2, 18.2, 13.6, 19.6, 15.2, 14.5, 15.6, 13.9, 16.6,\n 14.8, 18.4, 21. , 12.7, 14.5, 13.2, 13.1, 13.5, 18.9,\n 20. , 21. , 24.7, 30.8, 34.9, 26.6, 25.3, 24.7, 21.2,\n 19.3, 20. , 16.6, 14.4, 19.4, 19.7, 20.5, 25. , 23.4,\n 18.9, 35.4, 24.7, 31.6, 23.3, 19.6, 18.7, 16. , 22.2,\n 25. , 33. , 23.5, 19.4, 22. , 17.4, 20.9, 24.2, 21.7,\n 22.8, 23.4, 24.1, 21.4, 20. , 20.8, 21.2, 20.3, 28. ,\n 23.9, 24.8, 22.9, 23.9, 26.6, 22.5, 22.2, 23.6, 28.7,\n 22.6, 22. , 22.9, 25. , 20.6, 28.4, 21.4, 38.7, 43.8,\n 33.2, 27.5, 26.5, 18.6, 19.3, 20.1, 19.5, 19.5, 20.4,\n 19.8, 19.4, 21.7, 22.8, 18.8, 18.7, 18.5, 18.3, 21.2,\n 19.2, 20.4, 19.3, 22. , 20.3, 20.5, 17.3, 18.8, 21.4,\n 15.7, 16.2, 18. , 14.3, 19.2, 19.6, 23. , 18.4, 15.6,\n 18.1, 17.4, 17.1, 13.3, 17.8, 14. , 14.4, 13.4, 15.6,\n 11.8, 13.8, 15.6, 14.6, 17.8, 15.4, 21.5, 19.6, 15.3,\n 19.4, 17. , 15.6, 13.1, 41.3, 24.3, 23.3, 27. , 50. ,\n 50. , 50. , 22.7, 25. , 50. , 23.8, 23.8, 22.3, 17.4,\n 19.1, 23.1, 23.6, 22.6, 29.4, 23.2, 24.6, 29.9, 37.2,\n 39.8, 36.2, 37.9, 32.5, 26.4, 29.6, 50. , 32. , 29.8,\n 34.9, 37. , 30.5, 36.4, 31.1, 29.1, 50. , 33.3, 30.3,\n 34.6, 34.9, 32.9, 24.1, 42.3, 48.5, 50. , 22.6, 24.4,\n 22.5, 24.4, 20. , 21.7, 19.3, 22.4, 28.1, 23.7, 25. ,\n 23.3, 28.7, 21.5, 23. , 26.7, 21.7, 27.5, 30.1, 44.8,\n 50. , 37.6, 31.6, 46.7, 31.5, 24.3, 31.7, 41.7, 48.3,\n 29. , 24. , 25.1, 31.5, 23.7, 23.3, 22. , 20.1, 22.2,\n 23.7, 17.6, 18.5, 24.3, 20.5, 24.5, 26.2, 24.4, 24.8,\n 29.6, 42.8, 21.9, 20.9, 44. , 50. , 36. , 30.1, 33.8,\n 43.1, 48.8, 31. , 36.5, 22.8, 30.7, 50. , 43.5, 20.7,\n 21.1, 25.2, 24.4, 35.2, 32.4, 32. , 33.2, 33.1, 29.1,\n 35.1, 45.4, 35.4, 46. , 50. , 32.2, 22. , 20.1, 23.2,\n 22.3, 24.8, 28.5, 37.3, 27.9, 23.9, 21.7, 28.6, 27.1,\n 20.3, 22.5, 29. , 24.8, 22. , 26.4, 33.1, 36.1, 28.4,\n 33.4, 28.2, 22.8, 20.3, 16.1, 22.1, 19.4, 21.6, 23.8,\n 16.2, 17.8, 19.8, 23.1, 21. , 23.8, 23.1, 20.4, 18.5,\n 25. , 24.6, 23. , 22.2, 19.3, 22.6, 19.8, 17.1, 19.4,\n 22.2, 20.7, 21.1, 19.5, 18.5, 20.6, 19. , 18.7, 32.7,\n 16.5, 23.9, 31.2, 17.5, 17.2, 23.1, 24.5, 26.6, 22.9,\n 24.1, 18.6, 30.1, 18.2, 20.6, 17.8, 21.7, 22.7, 22.6,\n 25. , 19.9, 20.8, 16.8, 21.9, 27.5, 21.9, 23.1, 50. ,\n 50. , 50. , 50. , 50. , 13.8, 13.8, 15. , 13.9, 13.3,\n 13.1, 10.2, 10.4, 10.9, 11.3, 12.3, 8.8, 7.2, 10.5,\n 7.4, 10.2, 11.5, 15.1, 23.2, 9.7, 13.8, 12.7, 13.1,\n 12.5, 8.5, 5. , 6.3, 5.6, 7.2, 12.1, 8.3, 8.5,\n 5. , 11.9, 27.9, 17.2, 27.5, 15. , 17.2, 17.9, 16.3,\n 7. , 7.2, 7.5, 10.4, 8.8, 8.4, 16.7, 14.2, 20.8,\n 13.4, 11.7, 8.3, 10.2, 10.9, 11. , 9.5, 14.5, 14.1,\n 16.1, 14.3, 11.7, 13.4, 9.6, 8.7, 8.4, 12.8, 10.5,\n 17.1, 18.4, 15.4, 10.8, 11.8, 14.9, 12.6, 14.1, 13. ,\n 13.4, 15.2, 16.1, 17.8, 14.9, 14.1, 12.7, 13.5, 14.9,\n 20. , 16.4, 17.7, 19.5, 20.2, 21.4, 19.9, 19. , 19.1,\n 19.1, 20.1, 19.9, 19.6, 23.2, 29.8, 13.8, 13.3, 16.7,\n 12. , 14.6, 21.4, 23. , 23.7, 25. , 21.8, 20.6, 21.2,\n 19.1, 20.6, 15.2, 7. , 8.1, 13.6, 20.1, 21.8, 24.5,\n 23.1, 19.7, 18.3, 21.2, 17.5, 16.8, 22.4, 20.6, 23.9,\n 22. , 11.9])}\n"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 78, "cell_type": "code", "source": "Boston2=pd.DataFrame(Boston2[0:,0:])", "outputs": [{"ename": "IndexError", "evalue": "too many indices for array", "traceback": ["\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mIndexError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-78-133fa9eb5203>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mBoston2\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mpd\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mDataFrame\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mBoston2\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mIndexError\u001b[0m: too many indices for array"], "output_type": "error"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 80, "cell_type": "code", "source": "Boston2=pd.DataFrame(Boston2[0:,0:],index=data[:,0])", "outputs": [{"ename": "IndexError", "evalue": "too many indices for array", "traceback": ["\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mIndexError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-80-edc28f4fb937>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mBoston2\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mpd\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mDataFrame\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mBoston2\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mindex\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mdata\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mIndexError\u001b[0m: too many indices for array"], "output_type": "error"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": null, "cell_type": "code", "source": "result = sm.ols(formula=\"A ~ B + C\", data=df).fit()", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": null, "cell_type": "code", "source": "print result.summary()", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 83, "cell_type": "code", "source": "from pandas.stats.api import ols\nres = ols(y=bigdiamonds['price'], x=bigdiamonds[['carat','table']])\n", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}, {"execution_count": 84, "cell_type": "code", "source": "res", "outputs": [{"execution_count": 84, "output_type": "execute_result", "data": {"text/plain": "\n-------------------------Summary of Regression Analysis-------------------------\n\nFormula: Y ~ <carat> + <table> + <intercept>\n\nNumber of Observations: 597311\nNumber of Degrees of Freedom: 3\n\nR-squared: 0.7334\nAdj R-squared: 0.7334\n\nRmse: 6721.8033\n\nF-stat (2, 597308): 821448.7894, p-value: 0.0000\n\nDegrees of Freedom: model 2, resid 597308\n\n-----------------------Summary of Estimated Coefficients------------------------\n Variable Coef Std Err t-stat p-value CI 2.5% CI 97.5%\n--------------------------------------------------------------------------------\n carat 13721.8112 10.7095 1281.28 0.0000 13700.8206 13742.8018\n table -22.0390 1.7418 -12.65 0.0000 -25.4529 -18.6251\n intercept -4690.5822 100.9816 -46.45 0.0000 -4888.5062 -4492.6582\n---------------------------------End of Summary---------------------------------"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 87, "cell_type": "code", "source": "res2 = ols(y=bigdiamonds['price'], x=bigdiamonds[['carat','table','depth']])", "outputs": [], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 88, "cell_type": "code", "source": "res2", "outputs": [{"execution_count": 88, "output_type": "execute_result", "data": {"text/plain": "\n-------------------------Summary of Regression Analysis-------------------------\n\nFormula: Y ~ <carat> + <table> + <depth> + <intercept>\n\nNumber of Observations: 597311\nNumber of Degrees of Freedom: 4\n\nR-squared: 0.7334\nAdj R-squared: 0.7334\n\nRmse: 6721.3023\n\nF-stat (3, 597307): 547744.2052, p-value: 0.0000\n\nDegrees of Freedom: model 3, resid 597307\n\n-----------------------Summary of Estimated Coefficients------------------------\n Variable Coef Std Err t-stat p-value CI 2.5% CI 97.5%\n--------------------------------------------------------------------------------\n carat 13721.0360 10.7090 1281.26 0.0000 13700.0464 13742.0256\n table -13.7366 1.9490 -7.05 0.0000 -17.5567 -9.9165\n depth -12.1411 1.2793 -9.49 0.0000 -14.6485 -9.6336\n intercept -4426.8269 104.7291 -42.27 0.0000 -4632.0959 -4221.5579\n---------------------------------End of Summary---------------------------------"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 91, "cell_type": "code", "source": "#what is the error here?\n\nnp.corr(bigdiamonds)", "outputs": [{"ename": "AttributeError", "evalue": "'module' object has no attribute 'corr'", "traceback": ["\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mAttributeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-91-db0c464e6dd8>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mcorr\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mbigdiamonds\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mAttributeError\u001b[0m: 'module' object has no attribute 'corr'"], "output_type": "error"}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": 93, "cell_type": "code", "source": "bigdiamonds.corr()", "outputs": [{"execution_count": 93, "output_type": "execute_result", "data": {"text/plain": " carat table depth price x y z \\\ncarat 1.000000 0.036533 0.009846 0.856328 0.860246 0.960807 0.792051 \ntable 0.036533 1.000000 0.448772 0.023378 0.027504 0.044542 0.030344 \ndepth 0.009846 0.448772 1.000000 -0.001006 -0.003279 0.007669 0.031801 \nprice 0.856328 0.023378 -0.001006 1.000000 0.719778 0.796765 0.645317 \nx 0.860246 0.027504 -0.003279 0.719778 1.000000 0.894203 0.483102 \ny 0.960807 0.044542 0.007669 0.796765 0.894203 1.000000 0.820211 \nz 0.792051 0.030344 0.031801 0.645317 0.483102 0.820211 1.000000 \nnewdata 0.685399 0.012662 0.003913 0.885976 0.647908 0.716541 0.578021 \n\n newdata \ncarat 0.685399 \ntable 0.012662 \ndepth 0.003913 \nprice 0.885976 \nx 0.647908 \ny 0.716541 \nz 0.578021 \nnewdata 1.000000 ", "text/html": "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n<table border=\"1\" class=\"dataframe\">\n <thead>\n <tr style=\"text-align: right;\">\n <th></th>\n <th>carat</th>\n <th>table</th>\n <th>depth</th>\n <th>price</th>\n <th>x</th>\n <th>y</th>\n <th>z</th>\n <th>newdata</th>\n </tr>\n </thead>\n <tbody>\n <tr>\n <th>carat</th>\n <td> 1.000000</td>\n <td> 0.036533</td>\n <td> 0.009846</td>\n <td> 0.856328</td>\n <td> 0.860246</td>\n <td> 0.960807</td>\n <td> 0.792051</td>\n <td> 0.685399</td>\n </tr>\n <tr>\n <th>table</th>\n <td> 0.036533</td>\n <td> 1.000000</td>\n <td> 0.448772</td>\n <td> 0.023378</td>\n <td> 0.027504</td>\n <td> 0.044542</td>\n <td> 0.030344</td>\n <td> 0.012662</td>\n </tr>\n <tr>\n <th>depth</th>\n <td> 0.009846</td>\n <td> 0.448772</td>\n <td> 1.000000</td>\n <td>-0.001006</td>\n <td>-0.003279</td>\n <td> 0.007669</td>\n <td> 0.031801</td>\n <td> 0.003913</td>\n </tr>\n <tr>\n <th>price</th>\n <td> 0.856328</td>\n <td> 0.023378</td>\n <td>-0.001006</td>\n <td> 1.000000</td>\n <td> 0.719778</td>\n <td> 0.796765</td>\n <td> 0.645317</td>\n <td> 0.885976</td>\n </tr>\n <tr>\n <th>x</th>\n <td> 0.860246</td>\n <td> 0.027504</td>\n <td>-0.003279</td>\n <td> 0.719778</td>\n <td> 1.000000</td>\n <td> 0.894203</td>\n <td> 0.483102</td>\n <td> 0.647908</td>\n </tr>\n <tr>\n <th>y</th>\n <td> 0.960807</td>\n <td> 0.044542</td>\n <td> 0.007669</td>\n <td> 0.796765</td>\n <td> 0.894203</td>\n <td> 1.000000</td>\n <td> 0.820211</td>\n <td> 0.716541</td>\n </tr>\n <tr>\n <th>z</th>\n <td> 0.792051</td>\n <td> 0.030344</td>\n <td> 0.031801</td>\n <td> 0.645317</td>\n <td> 0.483102</td>\n <td> 0.820211</td>\n <td> 1.000000</td>\n <td> 0.578021</td>\n </tr>\n <tr>\n <th>newdata</th>\n <td> 0.685399</td>\n <td> 0.012662</td>\n <td> 0.003913</td>\n <td> 0.885976</td>\n <td> 0.647908</td>\n <td> 0.716541</td>\n <td> 0.578021</td>\n <td> 1.000000</td>\n </tr>\n </tbody>\n</table>\n</div>"}, "metadata": {}}], "metadata": {"collapsed": false, "trusted": true}}, {"execution_count": null, "cell_type": "code", "source": "", "outputs": [], "metadata": {"collapsed": true, "trusted": true}}], "nbformat": 4, "metadata": {"kernelspec": {"display_name": "Python 2", "name": "python2", "language": "python"}, "language_info": {"mimetype": "text/x-python", "nbconvert_exporter": "python", "version": "2.7.9", "name": "python", "file_extension": ".py", "pygments_lexer": "ipython2", "codemirror_mode": {"version": 2, "name": "ipython"}}}}
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment