Skip to content

Instantly share code, notes, and snippets.

View defvs's full-sized avatar

Daniel THIRION defvs

View GitHub Profile
@defvs
defvs / main.py
Created October 19, 2023 19:31
Streamrip get album URLs from playlist
import streamrip
from tqdm import tqdm
import requests
if __name__ == '__main__':
playlistUrl = "" #input("Please enter the deezer playlist URL: ")
if playlistUrl.split(sep="/")[-2] != "playlist":
print("Not a playlist.")
exit()
playlistId = playlistUrl.split(sep="/")[-1]
@defvs
defvs / apb_rw.vhd
Created July 18, 2023 09:08
APB read/write VHDL procedures
-- An example of APB Read and Write procedures in VHDL
-- Not synthesizable
-- Works with wait states
-- Type definitions
type apb_slv_in_type is record
psel : std_logic_vector(0 to NAPBSLV-1); -- slave select
penable : std_ulogic; -- strobe
paddr : std_logic_vector(31 downto 0); -- address bus (byte)
pwrite : std_ulogic; -- write
@defvs
defvs / connectv2_documentation.md
Last active March 31, 2020 17:11
Monstercat Connect v2 API docs
@defvs
defvs / Chenillard.vhd
Created November 15, 2018 21:44
VHDL Implémentation Chenillard 10 LEDs 1 à 10 Hz et bouton pause
library ieee ;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Chenillard is
port (
MAX10_CLK1_50 : in std_logic;
LEDR : buffer unsigned(9 downto 0);
HEX0 : out std_logic_vector(0 to 6);
HEX1 : out std_logic_vector(0 to 6);