This has been moved to https://github.com/defvs/connect-v2-docs/wiki
/!\ Unofficial documentation
Endpoint : connect(.stage).monstercat.com/v2/
import streamrip | |
from tqdm import tqdm | |
import requests | |
if __name__ == '__main__': | |
playlistUrl = "" #input("Please enter the deezer playlist URL: ") | |
if playlistUrl.split(sep="/")[-2] != "playlist": | |
print("Not a playlist.") | |
exit() | |
playlistId = playlistUrl.split(sep="/")[-1] |
-- An example of APB Read and Write procedures in VHDL | |
-- Not synthesizable | |
-- Works with wait states | |
-- Type definitions | |
type apb_slv_in_type is record | |
psel : std_logic_vector(0 to NAPBSLV-1); -- slave select | |
penable : std_ulogic; -- strobe | |
paddr : std_logic_vector(31 downto 0); -- address bus (byte) | |
pwrite : std_ulogic; -- write |
/!\ Unofficial documentation
Endpoint : connect(.stage).monstercat.com/v2/
library ieee ; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
entity Chenillard is | |
port ( | |
MAX10_CLK1_50 : in std_logic; | |
LEDR : buffer unsigned(9 downto 0); | |
HEX0 : out std_logic_vector(0 to 6); | |
HEX1 : out std_logic_vector(0 to 6); |