Skip to content

Instantly share code, notes, and snippets.

@eecsmap
Created May 15, 2023 22:11
Show Gist options
  • Save eecsmap/6a34e6513fb2b70366928abc0a3c5088 to your computer and use it in GitHub Desktop.
Save eecsmap/6a34e6513fb2b70366928abc0a3c5088 to your computer and use it in GitHub Desktop.
template_verilog_test
%.fst: %.vvp
vvp $< -fst
%.vvp: %.v
iverilog -g2012 -o $@ $<
clean:
rm -f *.vvp *.fst
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment