Skip to content

Instantly share code, notes, and snippets.

@eed3si9n
Created July 23, 2020 13:44
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save eed3si9n/b319b7afd0a67d443b9afc48e348098c to your computer and use it in GitHub Desktop.
Save eed3si9n/b319b7afd0a67d443b9afc48e348098c to your computer and use it in GitHub Desktop.
Normal output from scripted source-dependencies/subproject-pipelining
[debug] Reading incremental options from map
[info] Running source-dependencies/subproject-pipelining
[debug] Reading incremental options from map
[debug] PIPELINING value was read.
[debug] Reading incremental options from map
[debug] PIPELINING value was read.
[debug] [zinc] IncrementalCompile -----------
[debug] IncrementalCompile.incrementalCompile
[debug] previous = Stamps for: 0 products, 0 sources, 0 libraries Map()
[debug] current source = Set(${BASE}/dep/A.scala)
[debug] > initialChanges = InitialChanges(Changes(added = Set(${BASE}/dep/A.scala), removed = Set(), changed = Set(), unmodified = ...),Set(),Set(),API Changes: Set())
[debug] Full compilation, no sources in previous analysis.
[debug] all 1 sources are invalidated
[debug] Initial set of included nodes:
[debug] compilation cycle 1
[info] Compiling 1 Scala source to /var/folders/hg/2602nfrs2958vnshglyl3srw0000gn/T/sbt_e9f67afb/dep/target/classes ...
[debug] Returning already retrieved and compiled bridge: /var/folders/hg/2602nfrs2958vnshglyl3srw0000gn/T/sbt_7f22ed02/target-bridge-2.13.3.jar.
[debug] [zinc] Running cached compiler 6e8fb046 for Scala compiler version 2.13.3
[debug] [zinc] The Scala compiler is invoked with:
[debug] -Ypickle-java
[debug] -Ypickle-write
[debug] /var/folders/hg/2602nfrs2958vnshglyl3srw0000gn/T/sbt_e9f67afb/dep/target/early/output.jar
[debug] -bootclasspath
[debug] /Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/resources.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/rt.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/sunrsasign.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/jsse.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/jce.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/charsets.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/jfr.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/classes:/Users/eed3si9n/Library/Caches/Coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.3/scala-library-2.13.3.jar
[debug] -classpath
[debug] /Users/eed3si9n/Library/Caches/Coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.13.3/scala-compiler-2.13.3.jar:/Users/eed3si9n/Library/Caches/Coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.3/scala-reflect-2.13.3.jar:/Users/eed3si9n/Library/Caches/Coursier/v1/https/repo1.maven.org/maven2/org/jline/jline/3.15.0/jline-3.15.0.jar:/Users/eed3si9n/Library/Caches/Coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.3.1/jna-5.3.1.jar:/var/folders/hg/2602nfrs2958vnshglyl3srw0000gn/T/sbt_e9f67afb/dep/target/classes
[info] [progress] early output is done for dep!
[debug] [zinc] IncrementalCompile -----------
[debug] IncrementalCompile.incrementalCompile
[debug] previous = Stamps for: 0 products, 0 sources, 0 libraries Map()
[debug] current source = Set(${BASE}/use/B.scala)
[debug] > initialChanges = InitialChanges(Changes(added = Set(${BASE}/use/B.scala), removed = Set(), changed = Set(), unmodified = ...),Set(),Set(),API Changes: Set())
[debug] Full compilation, no sources in previous analysis.
[debug] all 1 sources are invalidated
[debug] Initial set of included nodes:
[debug] compilation cycle 1
[info] Compiling 1 Scala source to /var/folders/hg/2602nfrs2958vnshglyl3srw0000gn/T/sbt_e9f67afb/use/target/classes ...
[debug] Returning already retrieved and compiled bridge: /var/folders/hg/2602nfrs2958vnshglyl3srw0000gn/T/sbt_7f22ed02/target-bridge-2.13.3.jar.
[debug] [zinc] Running cached compiler 7b405c5f for Scala compiler version 2.13.3
[debug] [zinc] The Scala compiler is invoked with:
[debug] -Ypickle-java
[debug] -Ypickle-write
[debug] /var/folders/hg/2602nfrs2958vnshglyl3srw0000gn/T/sbt_e9f67afb/use/target/early/output.jar
[debug] -bootclasspath
[debug] /Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/resources.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/rt.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/sunrsasign.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/jsse.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/jce.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/charsets.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/lib/jfr.jar:/Users/eed3si9n/.sdkman/candidates/java/8.0.232.hs-adpt/jre/classes:/Users/eed3si9n/Library/Caches/Coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.3/scala-library-2.13.3.jar
[debug] -classpath
[debug] /Users/eed3si9n/Library/Caches/Coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.13.3/scala-compiler-2.13.3.jar:/Users/eed3si9n/Library/Caches/Coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.3/scala-reflect-2.13.3.jar:/Users/eed3si9n/Library/Caches/Coursier/v1/https/repo1.maven.org/maven2/org/jline/jline/3.15.0/jline-3.15.0.jar:/Users/eed3si9n/Library/Caches/Coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.3.1/jna-5.3.1.jar:/var/folders/hg/2602nfrs2958vnshglyl3srw0000gn/T/sbt_e9f67afb/use/target/classes:/var/folders/hg/2602nfrs2958vnshglyl3srw0000gn/T/sbt_e9f67afb/dep/target/early/output.jar
[info] [progress] early output is done for use!
[debug] Scala compilation took 3.298099464 s
[debug] done compiling Scala sources
[debug] Scala compilation took 0.778450535 s
[debug] done compiling Scala sources
[info] use: compilation done: /var/folders/hg/2602nfrs2958vnshglyl3srw0000gn/T/sbt_e9f67afb/use/B.scala
[info] dep: compilation done: /var/folders/hg/2602nfrs2958vnshglyl3srw0000gn/T/sbt_e9f67afb/dep/A.scala
[info] use: compilation done: /var/folders/hg/2602nfrs2958vnshglyl3srw0000gn/T/sbt_e9f67afb/use/B.scala
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment