Skip to content

Instantly share code, notes, and snippets.

@emcbot
Created June 7, 2024 22:39
Show Gist options
  • Save emcbot/6c622b12e57c1be6d4c41d0d0aaae0c3 to your computer and use it in GitHub Desktop.
Save emcbot/6c622b12e57c1be6d4c41d0d0aaae0c3 to your computer and use it in GitHub Desktop.
error log file from CI run PR_2663
Begin arch.sh at Fri Jun 7 22:31:50 UTC 2024
+ arch.sh[7]: . /scratch1/NCEPDEV/global/CI/2663/gfs/ush/load_fv3gfs_modules.sh
++ load_fv3gfs_modules.sh[4]: [[ NO == \N\O ]]
++ load_fv3gfs_modules.sh[5]: echo 'Loading modules quietly...'
Loading modules quietly...
++ load_fv3gfs_modules.sh[6]: set +x
Running "module reset". Resetting modules to system default. The following $MODULEPATH directories have been removed: None
Currently Loaded Modules:
1) contrib 43) ip/4.3.0
2) intel/2022.1.2 44) grib-util/1.3.0
3) stack-intel/2021.5.0 45) g2tmpl/1.10.2
4) impi/2022.1.2 46) gsi-ncdiag/1.1.2
5) stack-intel-oneapi-mpi/2021.5.1 47) crtm-fix/2.4.0.1_emc
6) gettext/0.19.8.1 48) git-lfs/2.10.0
7) libxcrypt/4.4.35 49) crtm/2.4.0.1
8) zlib/1.2.13 50) openblas/0.3.24
9) sqlite/3.43.2 51) py-setuptools/63.4.3
10) util-linux-uuid/2.38.1 52) py-numpy/1.23.4
11) python/3.11.6 53) bufr/11.7.0
12) hpss/hpss 54) gmake/3.82
13) gempak/7.17.0 55) wgrib2/2.0.8
14) ncl/6.6.2 56) py-f90nml/1.4.3
15) libjpeg/2.1.0 57) py-cftime/1.0.3.4
16) jasper/2.0.32 58) py-netcdf4/1.5.8
17) libpng/1.6.37 59) libyaml/0.2.5
18) openjpeg/2.3.1 60) py-pyyaml/6.0
19) eccodes/2.32.0 61) py-markupsafe/2.1.3
20) fftw/3.3.10 62) py-jinja2/3.1.2
21) nghttp2/1.57.0 63) py-bottleneck/1.3.7
22) curl/8.4.0 64) py-numexpr/2.8.4
23) proj/8.1.0 65) py-et-xmlfile/1.0.1
24) udunits/2.2.28 66) py-openpyxl/3.1.2
25) cdo/2.2.0 67) py-pytz/2023.3
26) R/3.6.1 68) py-pyxlsb/1.0.10
27) perl/5.38.0 69) py-xlrd/2.0.1
28) pkg-config/0.27.1 70) py-xlsxwriter/3.1.7
29) hdf5/1.14.0 71) py-xlwt/1.3.0
30) snappy/1.1.10 72) py-pandas/1.5.3
31) zstd/1.5.2 73) py-six/1.16.0
32) c-blosc/1.21.5 74) py-python-dateutil/2.8.2
33) netcdf-c/4.9.2 75) g2c/1.6.4
34) netcdf-fortran/4.6.1 76) netcdf-cxx4/4.3.1
35) antlr/2.7.7 77) met/9.1.3
36) gsl/2.7.1 78) metplus/3.1.1
37) nco/5.0.6 79) py-packaging/23.1
38) bacio/2.4.1 80) py-xarray/2023.7.0
39) w3emc/2.10.0 81) prepobs/1.0.1
40) prod_util/2.1.1 82) fit2obs/1.1.1
41) g2/3.4.5 83) module_base.hera
42) sp/2.5.0
+ arch.sh[8]: status=0
+ arch.sh[9]: [[ 0 -ne 0 ]]
+ arch.sh[13]: PYTHONPATH=/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xarray-2023.7.0-joweppx/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-packaging-23.1-5gvg7qo/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-python-dateutil-2.8.2-3qglnj4/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-six-1.16.0-t54borv/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pandas-1.5.3-tguwpv3/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlwt-1.3.0-5nxruci/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlsxwriter-3.1.7-7l25bnt/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlrd-2.0.1-fwxe33t/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyxlsb-1.0.10-ghdqaaw/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pytz-2023.3-kkz2z3d/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-openpyxl-3.1.2-4tswswo/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-et-xmlfile-1.0.1-it6uamo/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numexpr-2.8.4-uqnmadj/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-bottleneck-1.3.7-4itjkyr/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-jinja2-3.1.2-3yb4fme/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-markupsafe-2.1.3-clrlf2z/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyyaml-6.0-4sduut5/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-netcdf4-1.5.8-haeompg/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-cftime-1.0.3.4-4s5bg7j/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-f90nml-1.4.3-b4vzhct/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib64/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numpy-1.23.4-yrvnxwk/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-setuptools-63.4.3-qppw6fo/lib/python3.11/site-packages:/scratch1/NCEPDEV/global/CI/2663/gfs/ush/python
+ arch.sh[14]: export PYTHONPATH
+ arch.sh[16]: export job=arch
+ arch.sh[16]: job=arch
+ arch.sh[17]: export jobid=arch.1192394
+ arch.sh[17]: jobid=arch.1192394
+ arch.sh[21]: /scratch1/NCEPDEV/global/CI/2663/gfs/jobs/JGLOBAL_ARCHIVE
Begin JGLOBAL_ARCHIVE at Fri Jun 7 22:31:53 UTC 2024
+ JGLOBAL_ARCHIVE[4]: source /scratch1/NCEPDEV/global/CI/2663/gfs/ush/jjob_header.sh -e arch -c 'base arch'
++ jjob_header.sh[44]: OPTIND=1
++ jjob_header.sh[45]: getopts c:e: option
++ jjob_header.sh[46]: case "${option}" in
++ jjob_header.sh[48]: env_job=arch
++ jjob_header.sh[45]: getopts c:e: option
++ jjob_header.sh[46]: case "${option}" in
++ jjob_header.sh[47]: read -ra configs
++ jjob_header.sh[45]: getopts c:e: option
++ jjob_header.sh[59]: shift 4
++ jjob_header.sh[61]: [[ -z arch ]]
++ jjob_header.sh[69]: export DATA=/scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f/arch.1192394
++ jjob_header.sh[69]: DATA=/scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f/arch.1192394
++ jjob_header.sh[70]: [[ YES == \Y\E\S ]]
++ jjob_header.sh[71]: rm -rf /scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f/arch.1192394
++ jjob_header.sh[73]: mkdir -p /scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f/arch.1192394
++ jjob_header.sh[74]: cd /scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f/arch.1192394
++ jjob_header.sh[80]: export cycle=t12z
++ jjob_header.sh[80]: cycle=t12z
++ jjob_header.sh[81]: setpdy.sh
sed: can't read /scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/date/t12z: No such file or directory
completed cleanly
completed cleanly
Source PDY script to export PDYm7, ..., PDY, ..., PDYp7 variables.
++ jjob_header.sh[82]: source ./PDY
+++ PDY[1]: export PDYm7=20210316
+++ PDY[1]: PDYm7=20210316
+++ PDY[2]: export PDYm6=20210317
+++ PDY[2]: PDYm6=20210317
+++ PDY[3]: export PDYm5=20210318
+++ PDY[3]: PDYm5=20210318
+++ PDY[4]: export PDYm4=20210319
+++ PDY[4]: PDYm4=20210319
+++ PDY[5]: export PDYm3=20210320
+++ PDY[5]: PDYm3=20210320
+++ PDY[6]: export PDYm2=20210321
+++ PDY[6]: PDYm2=20210321
+++ PDY[7]: export PDYm1=20210322
+++ PDY[7]: PDYm1=20210322
+++ PDY[8]: export PDY=20210323
+++ PDY[8]: PDY=20210323
+++ PDY[9]: export PDYp1=20210324
+++ PDY[9]: PDYp1=20210324
+++ PDY[10]: export PDYp2=20210325
+++ PDY[10]: PDYp2=20210325
+++ PDY[11]: export PDYp3=20210326
+++ PDY[11]: PDYp3=20210326
+++ PDY[12]: export PDYp4=20210327
+++ PDY[12]: PDYp4=20210327
+++ PDY[13]: export PDYp5=20210328
+++ PDY[13]: PDYp5=20210328
+++ PDY[14]: export PDYp6=20210329
+++ PDY[14]: PDYp6=20210329
+++ PDY[15]: export PDYp7=20210330
+++ PDY[15]: PDYp7=20210330
++ jjob_header.sh[88]: export pid=1192745
++ jjob_header.sh[88]: pid=1192745
++ jjob_header.sh[89]: export pgmout=OUTPUT.1192745
++ jjob_header.sh[89]: pgmout=OUTPUT.1192745
++ jjob_header.sh[90]: export pgmerr=errfile
++ jjob_header.sh[90]: pgmerr=errfile
++ jjob_header.sh[96]: export EXPDIR=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/EXPDIR/C48_S2SW_ccd87d4f
++ jjob_header.sh[96]: EXPDIR=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/EXPDIR/C48_S2SW_ccd87d4f
++ jjob_header.sh[97]: for config in "${configs[@]:-''}"
++ jjob_header.sh[98]: source /scratch1/NCEPDEV/global/CI/2663/RUNTESTS/EXPDIR/C48_S2SW_ccd87d4f/config.base
+++ config.base[6]: echo 'BEGIN: config.base'
BEGIN: config.base
+++ config.base[9]: export machine=HERA
+++ config.base[9]: machine=HERA
+++ config.base[12]: export RUN_ENVIR=emc
+++ config.base[12]: RUN_ENVIR=emc
+++ config.base[15]: export ACCOUNT=nems
+++ config.base[15]: ACCOUNT=nems
+++ config.base[16]: export ACCOUNT_SERVICE=fv3-cpu
+++ config.base[16]: ACCOUNT_SERVICE=fv3-cpu
+++ config.base[17]: export QUEUE=batch
+++ config.base[17]: QUEUE=batch
+++ config.base[18]: export QUEUE_SERVICE=batch
+++ config.base[18]: QUEUE_SERVICE=batch
+++ config.base[19]: export PARTITION_BATCH=hera
+++ config.base[19]: PARTITION_BATCH=hera
+++ config.base[20]: export PARTITION_SERVICE=service
+++ config.base[20]: PARTITION_SERVICE=service
+++ config.base[21]: export RESERVATION=
+++ config.base[21]: RESERVATION=
+++ config.base[24]: export HPSS_PROJECT=emc-global
+++ config.base[24]: HPSS_PROJECT=emc-global
+++ config.base[27]: export HOMEgfs=/scratch1/NCEPDEV/global/CI/2663/gfs
+++ config.base[27]: HOMEgfs=/scratch1/NCEPDEV/global/CI/2663/gfs
+++ config.base[28]: export EXECgfs=/scratch1/NCEPDEV/global/CI/2663/gfs/exec
+++ config.base[28]: EXECgfs=/scratch1/NCEPDEV/global/CI/2663/gfs/exec
+++ config.base[29]: export FIXgfs=/scratch1/NCEPDEV/global/CI/2663/gfs/fix
+++ config.base[29]: FIXgfs=/scratch1/NCEPDEV/global/CI/2663/gfs/fix
+++ config.base[30]: export PARMgfs=/scratch1/NCEPDEV/global/CI/2663/gfs/parm
+++ config.base[30]: PARMgfs=/scratch1/NCEPDEV/global/CI/2663/gfs/parm
+++ config.base[31]: export SCRgfs=/scratch1/NCEPDEV/global/CI/2663/gfs/scripts
+++ config.base[31]: SCRgfs=/scratch1/NCEPDEV/global/CI/2663/gfs/scripts
+++ config.base[32]: export USHgfs=/scratch1/NCEPDEV/global/CI/2663/gfs/ush
+++ config.base[32]: USHgfs=/scratch1/NCEPDEV/global/CI/2663/gfs/ush
+++ config.base[34]: export FIXam=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/am
+++ config.base[34]: FIXam=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/am
+++ config.base[35]: export FIXaer=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/aer
+++ config.base[35]: FIXaer=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/aer
+++ config.base[36]: export FIXcpl=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/cpl
+++ config.base[36]: FIXcpl=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/cpl
+++ config.base[37]: export FIXlut=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/lut
+++ config.base[37]: FIXlut=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/lut
+++ config.base[38]: export FIXorog=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/orog
+++ config.base[38]: FIXorog=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/orog
+++ config.base[39]: export FIXcice=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/cice
+++ config.base[39]: FIXcice=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/cice
+++ config.base[40]: export FIXmom=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/mom6
+++ config.base[40]: FIXmom=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/mom6
+++ config.base[41]: export FIXreg2grb2=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/reg2grb2
+++ config.base[41]: FIXreg2grb2=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/reg2grb2
+++ config.base[42]: export FIXugwd=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/ugwd
+++ config.base[42]: FIXugwd=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/ugwd
+++ config.base[43]: export FIXgdas=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/gdas
+++ config.base[43]: FIXgdas=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/gdas
+++ config.base[48]: export PACKAGEROOT=/scratch1/NCEPDEV/global/glopara/nwpara
+++ config.base[48]: PACKAGEROOT=/scratch1/NCEPDEV/global/glopara/nwpara
+++ config.base[49]: export COMROOT=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT
+++ config.base[49]: COMROOT=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT
+++ config.base[50]: export COMINsyn=/scratch1/NCEPDEV/global/glopara/com/gfs/prod/syndat
+++ config.base[50]: COMINsyn=/scratch1/NCEPDEV/global/glopara/com/gfs/prod/syndat
+++ config.base[51]: export DMPDIR=/scratch1/NCEPDEV/global/glopara/dump
+++ config.base[51]: DMPDIR=/scratch1/NCEPDEV/global/glopara/dump
+++ config.base[52]: export BASE_CPLIC=/scratch1/NCEPDEV/global/glopara/data/ICSDIR/prototype_ICs
+++ config.base[52]: BASE_CPLIC=/scratch1/NCEPDEV/global/glopara/data/ICSDIR/prototype_ICs
+++ config.base[56]: export COMINecmwf=/scratch1/NCEPDEV/global/glopara/data/external_gempak/ecmwf
+++ config.base[56]: COMINecmwf=/scratch1/NCEPDEV/global/glopara/data/external_gempak/ecmwf
+++ config.base[57]: export COMINnam=/scratch1/NCEPDEV/global/glopara/data/external_gempak/nam
+++ config.base[57]: COMINnam=/scratch1/NCEPDEV/global/glopara/data/external_gempak/nam
+++ config.base[58]: export COMINukmet=/scratch1/NCEPDEV/global/glopara/data/external_gempak/ukmet
+++ config.base[58]: COMINukmet=/scratch1/NCEPDEV/global/glopara/data/external_gempak/ukmet
+++ config.base[61]: export HOMEDIR=/scratch1/NCEPDEV/global/Terry.McGuinness
+++ config.base[61]: HOMEDIR=/scratch1/NCEPDEV/global/Terry.McGuinness
+++ config.base[62]: export STMP=/scratch1/NCEPDEV/stmp2/Terry.McGuinness
+++ config.base[62]: STMP=/scratch1/NCEPDEV/stmp2/Terry.McGuinness
+++ config.base[63]: export PTMP=/scratch1/NCEPDEV/stmp4/Terry.McGuinness
+++ config.base[63]: PTMP=/scratch1/NCEPDEV/stmp4/Terry.McGuinness
+++ config.base[64]: export NOSCRUB=/scratch1/NCEPDEV/global/Terry.McGuinness
+++ config.base[64]: NOSCRUB=/scratch1/NCEPDEV/global/Terry.McGuinness
+++ config.base[67]: export BASE_GIT=/scratch1/NCEPDEV/global/glopara/git
+++ config.base[67]: BASE_GIT=/scratch1/NCEPDEV/global/glopara/git
+++ config.base[70]: export DO_GOES=NO
+++ config.base[70]: DO_GOES=NO
+++ config.base[71]: export DO_BUFRSND=NO
+++ config.base[71]: DO_BUFRSND=NO
+++ config.base[72]: export DO_GEMPAK=NO
+++ config.base[72]: DO_GEMPAK=NO
+++ config.base[73]: export DO_AWIPS=NO
+++ config.base[73]: DO_AWIPS=NO
+++ config.base[74]: export DO_NPOESS=NO
+++ config.base[74]: DO_NPOESS=NO
+++ config.base[75]: export DO_TRACKER=YES
+++ config.base[75]: DO_TRACKER=YES
+++ config.base[76]: export DO_GENESIS=YES
+++ config.base[76]: DO_GENESIS=YES
+++ config.base[77]: export DO_GENESIS_FSU=NO
+++ config.base[77]: DO_GENESIS_FSU=NO
+++ config.base[78]: export DO_VERFOZN=YES
+++ config.base[78]: DO_VERFOZN=YES
+++ config.base[79]: export DO_VERFRAD=YES
+++ config.base[79]: DO_VERFRAD=YES
+++ config.base[80]: export DO_VMINMON=YES
+++ config.base[80]: DO_VMINMON=YES
+++ config.base[81]: export DO_MOS=NO
+++ config.base[81]: DO_MOS=NO
+++ config.base[87]: export REALTIME=YES
+++ config.base[87]: REALTIME=YES
+++ config.base[90]: export MODE=forecast-only
+++ config.base[90]: MODE=forecast-only
+++ config.base[99]: export FIXgsi=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/gsi
+++ config.base[99]: FIXgsi=/scratch1/NCEPDEV/global/CI/2663/gfs/fix/gsi
+++ config.base[100]: export HOMEpost=/scratch1/NCEPDEV/global/CI/2663/gfs
+++ config.base[100]: HOMEpost=/scratch1/NCEPDEV/global/CI/2663/gfs
+++ config.base[101]: export HOMEobsproc=/scratch1/NCEPDEV/global/glopara/git/obsproc/v1.1.2
+++ config.base[101]: HOMEobsproc=/scratch1/NCEPDEV/global/glopara/git/obsproc/v1.1.2
+++ config.base[104]: export 'NCP=/bin/cp -p'
+++ config.base[104]: NCP='/bin/cp -p'
+++ config.base[105]: export NMV=/bin/mv
+++ config.base[105]: NMV=/bin/mv
+++ config.base[106]: export 'NLN=/bin/ln -sf'
+++ config.base[106]: NLN='/bin/ln -sf'
+++ config.base[107]: export VERBOSE=YES
+++ config.base[107]: VERBOSE=YES
+++ config.base[108]: export KEEPDATA=NO
+++ config.base[108]: KEEPDATA=NO
+++ config.base[109]: export DEBUG_POSTSCRIPT=NO
+++ config.base[109]: DEBUG_POSTSCRIPT=NO
+++ config.base[110]: export CHGRP_RSTPROD=YES
+++ config.base[110]: CHGRP_RSTPROD=YES
+++ config.base[111]: export 'CHGRP_CMD=chgrp rstprod'
+++ config.base[111]: CHGRP_CMD='chgrp rstprod'
+++ config.base[112]: export NCDUMP=/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/bin/ncdump
+++ config.base[112]: NCDUMP=/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/bin/ncdump
+++ config.base[113]: export NCLEN=/scratch1/NCEPDEV/global/CI/2663/gfs/ush/getncdimlen
+++ config.base[113]: NCLEN=/scratch1/NCEPDEV/global/CI/2663/gfs/ush/getncdimlen
+++ config.base[116]: export BASE_ENV=/scratch1/NCEPDEV/global/CI/2663/gfs/env
+++ config.base[116]: BASE_ENV=/scratch1/NCEPDEV/global/CI/2663/gfs/env
+++ config.base[117]: export BASE_JOB=/scratch1/NCEPDEV/global/CI/2663/gfs/jobs/rocoto
+++ config.base[117]: BASE_JOB=/scratch1/NCEPDEV/global/CI/2663/gfs/jobs/rocoto
+++ config.base[120]: export SDATE=2021032312
+++ config.base[120]: SDATE=2021032312
+++ config.base[121]: export EDATE=2021032312
+++ config.base[121]: EDATE=2021032312
+++ config.base[122]: export EXP_WARM_START=.false.
+++ config.base[122]: EXP_WARM_START=.false.
+++ config.base[123]: export assim_freq=6
+++ config.base[123]: assim_freq=6
+++ config.base[124]: export PSLOT=C48_S2SW_ccd87d4f
+++ config.base[124]: PSLOT=C48_S2SW_ccd87d4f
+++ config.base[125]: export EXPDIR=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/EXPDIR/C48_S2SW_ccd87d4f
+++ config.base[125]: EXPDIR=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/EXPDIR/C48_S2SW_ccd87d4f
+++ config.base[126]: export ROTDIR=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f
+++ config.base[126]: ROTDIR=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f
+++ config.base[127]: export ROTDIR_DUMP=YES
+++ config.base[127]: ROTDIR_DUMP=YES
+++ config.base[128]: export DUMP_SUFFIX=
+++ config.base[128]: DUMP_SUFFIX=
+++ config.base[129]: [[ 2021032312 -ge 2019092100 ]]
+++ config.base[129]: [[ 2021032312 -le 2019110700 ]]
+++ config.base[132]: export DATAROOT=/scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f
+++ config.base[132]: DATAROOT=/scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f
+++ config.base[133]: export RUNDIR=/scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f
+++ config.base[133]: RUNDIR=/scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f
+++ config.base[134]: export ARCDIR=/scratch1/NCEPDEV/global/Terry.McGuinness/archive/C48_S2SW_ccd87d4f
+++ config.base[134]: ARCDIR=/scratch1/NCEPDEV/global/Terry.McGuinness/archive/C48_S2SW_ccd87d4f
+++ config.base[135]: export ATARDIR=/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f
+++ config.base[135]: ATARDIR=/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f
+++ config.base[138]: export envir=prod
+++ config.base[138]: envir=prod
+++ config.base[139]: export NET=gfs
+++ config.base[139]: NET=gfs
+++ config.base[140]: export RUN=gfs
+++ config.base[140]: RUN=gfs
+++ config.base[146]: source /scratch1/NCEPDEV/global/CI/2663/RUNTESTS/EXPDIR/C48_S2SW_ccd87d4f/config.com
++++ config.com[4]: echo 'BEGIN: config.com'
BEGIN: config.com
++++ config.com[37]: [[ emc == \n\c\o ]]
++++ config.com[41]: COM_OBS_TMPL='${ROTDIR}/${RUN}.${YMD}/${HH}/obs'
++++ config.com[42]: COM_RTOFS_TMPL='${DMPDIR}'
++++ config.com[44]: declare -rx COM_OBS_TMPL COM_RTOFS_TMPL
++++ config.com[45]: declare -rx 'COM_OBSDMP_TMPL=${DMPDIR}/${DUMP}${DUMP_SUFFIX}.${YMD}/${HH}/atmos'
++++ config.com[47]: COM_BASE='${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}'
++++ config.com[49]: declare -rx 'COM_TOP_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}'
++++ config.com[51]: declare -rx 'COM_CONF_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/conf'
++++ config.com[52]: declare -rx 'COM_ATMOS_INPUT_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/input'
++++ config.com[53]: declare -rx 'COM_ATMOS_RESTART_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/restart'
++++ config.com[54]: declare -rx 'COM_ATMOS_ANALYSIS_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/atmos'
++++ config.com[55]: declare -rx 'COM_SNOW_ANALYSIS_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/snow'
++++ config.com[56]: declare -rx 'COM_ATMOS_HISTORY_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/history'
++++ config.com[57]: declare -rx 'COM_ATMOS_MASTER_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/master'
++++ config.com[58]: declare -rx 'COM_ATMOS_GRIB_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/grib2'
++++ config.com[59]: declare -rx 'COM_ATMOS_GRIB_GRID_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/grib2/${GRID}'
++++ config.com[60]: declare -rx 'COM_ATMOS_BUFR_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/bufr'
++++ config.com[61]: declare -rx 'COM_ATMOS_GEMPAK_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/gempak/${GRID}'
++++ config.com[62]: declare -rx 'COM_ATMOS_GENESIS_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/cyclone/genesis_vital'
++++ config.com[63]: declare -rx 'COM_ATMOS_TRACK_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/cyclone/tracks'
++++ config.com[64]: declare -rx 'COM_ATMOS_GOES_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/goes_sim'
++++ config.com[65]: declare -rx 'COM_ATMOS_IMAGERY_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/imagery'
++++ config.com[66]: declare -rx 'COM_ATMOS_OZNMON_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/oznmon'
++++ config.com[67]: declare -rx 'COM_ATMOS_RADMON_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/radmon'
++++ config.com[68]: declare -rx 'COM_ATMOS_MINMON_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/minmon'
++++ config.com[69]: declare -rx 'COM_ATMOS_WMO_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/wmo'
++++ config.com[71]: declare -rx 'COM_WAVE_RESTART_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/restart'
++++ config.com[72]: declare -rx 'COM_WAVE_PREP_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/prep'
++++ config.com[73]: declare -rx 'COM_WAVE_HISTORY_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/history'
++++ config.com[74]: declare -rx 'COM_WAVE_GRID_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/gridded'
++++ config.com[75]: declare -rx 'COM_WAVE_STATION_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/station'
++++ config.com[76]: declare -rx 'COM_WAVE_GEMPAK_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/gempak'
++++ config.com[77]: declare -rx 'COM_WAVE_WMO_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/wmo'
++++ config.com[79]: declare -rx 'COM_OCEAN_HISTORY_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/history'
++++ config.com[80]: declare -rx 'COM_OCEAN_RESTART_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/restart'
++++ config.com[81]: declare -rx 'COM_OCEAN_INPUT_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/input'
++++ config.com[82]: declare -rx 'COM_OCEAN_ANALYSIS_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/ocean'
++++ config.com[83]: declare -rx 'COM_OCEAN_NETCDF_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/netcdf'
++++ config.com[84]: declare -rx 'COM_OCEAN_GRIB_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/grib2'
++++ config.com[85]: declare -rx 'COM_OCEAN_GRIB_GRID_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/grib2/${GRID}'
++++ config.com[87]: declare -rx 'COM_ICE_ANALYSIS_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/ice'
++++ config.com[88]: declare -rx 'COM_ICE_INPUT_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/input'
++++ config.com[89]: declare -rx 'COM_ICE_HISTORY_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/history'
++++ config.com[90]: declare -rx 'COM_ICE_RESTART_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/restart'
++++ config.com[91]: declare -rx 'COM_ICE_NETCDF_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/netcdf'
++++ config.com[92]: declare -rx 'COM_ICE_GRIB_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/grib2'
++++ config.com[93]: declare -rx 'COM_ICE_GRIB_GRID_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/grib2/${GRID}'
++++ config.com[95]: declare -rx 'COM_CHEM_HISTORY_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/chem/history'
++++ config.com[96]: declare -rx 'COM_CHEM_ANALYSIS_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/chem'
++++ config.com[98]: declare -rx 'COM_MED_RESTART_TMPL=${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/med/restart'
+++ config.base[149]: export 'ERRSCRIPT=eval [[ $err = 0 ]]'
+++ config.base[149]: ERRSCRIPT='eval [[ $err = 0 ]]'
+++ config.base[150]: export LOGSCRIPT=
+++ config.base[150]: LOGSCRIPT=
+++ config.base[153]: export 'REDOUT=1>'
+++ config.base[153]: REDOUT='1>'
+++ config.base[154]: export 'REDERR=2>'
+++ config.base[154]: REDERR='2>'
+++ config.base[156]: export SENDECF=NO
+++ config.base[156]: SENDECF=NO
+++ config.base[157]: export SENDSDM=NO
+++ config.base[157]: SENDSDM=NO
+++ config.base[158]: export SENDDBN_NTC=NO
+++ config.base[158]: SENDDBN_NTC=NO
+++ config.base[159]: export SENDDBN=NO
+++ config.base[159]: SENDDBN=NO
+++ config.base[160]: export DBNROOT=/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl/fakedbn
+++ config.base[160]: DBNROOT=/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl/fakedbn
+++ config.base[163]: export APP=S2SW
+++ config.base[163]: APP=S2SW
+++ config.base[165]: shopt -s extglob
+++ config.base[167]: case "${RUN}" in
+++ config.base[174]: shopt -u extglob
+++ config.base[177]: export DO_ATM=YES
+++ config.base[177]: DO_ATM=YES
+++ config.base[178]: export DO_COUPLED=NO
+++ config.base[178]: DO_COUPLED=NO
+++ config.base[179]: export DO_WAVE=NO
+++ config.base[179]: DO_WAVE=NO
+++ config.base[180]: export DO_OCN=NO
+++ config.base[180]: DO_OCN=NO
+++ config.base[181]: export DO_ICE=NO
+++ config.base[181]: DO_ICE=NO
+++ config.base[182]: export DO_AERO=NO
+++ config.base[182]: DO_AERO=NO
+++ config.base[183]: export AERO_FCST_CDUMP=
+++ config.base[183]: AERO_FCST_CDUMP=
+++ config.base[184]: export AERO_ANL_CDUMP=
+++ config.base[184]: AERO_ANL_CDUMP=
+++ config.base[185]: export WAVE_CDUMP=
+++ config.base[185]: WAVE_CDUMP=
+++ config.base[186]: export DOBNDPNT_WAVE=NO
+++ config.base[186]: DOBNDPNT_WAVE=NO
+++ config.base[187]: export DOIBP_WAV=NO
+++ config.base[187]: DOIBP_WAV=NO
+++ config.base[188]: export FRAC_GRID=.true.
+++ config.base[188]: FRAC_GRID=.true.
+++ config.base[189]: export DO_NEST=NO
+++ config.base[189]: DO_NEST=NO
+++ config.base[190]: [[ NO == \Y\E\S ]]
+++ config.base[194]: export ntiles=6
+++ config.base[194]: ntiles=6
+++ config.base[198]: export OPS_RES=C768
+++ config.base[198]: OPS_RES=C768
+++ config.base[201]: export LEVS=128
+++ config.base[201]: LEVS=128
+++ config.base[202]: export CASE=C48
+++ config.base[202]: CASE=C48
+++ config.base[203]: export CASE_ENS=@CASEENS@
+++ config.base[203]: CASE_ENS=@CASEENS@
+++ config.base[204]: export OCNRES=500
+++ config.base[204]: OCNRES=500
+++ config.base[205]: export ICERES=500
+++ config.base[205]: ICERES=500
+++ config.base[208]: case "${CASE}" in
+++ config.base[210]: export waveGRD=uglo_100km
+++ config.base[210]: waveGRD=uglo_100km
+++ config.base[227]: case "${APP}" in
+++ config.base[246]: export DO_COUPLED=YES
+++ config.base[246]: DO_COUPLED=YES
+++ config.base[247]: export DO_OCN=YES
+++ config.base[247]: DO_OCN=YES
+++ config.base[248]: export DO_ICE=YES
+++ config.base[248]: DO_ICE=YES
+++ config.base[250]: [[ S2SW =~ A$ ]]
+++ config.base[256]: [[ S2SW =~ ^S2SW ]]
+++ config.base[257]: export DO_WAVE=YES
+++ config.base[257]: DO_WAVE=YES
+++ config.base[258]: export WAVE_CDUMP=both
+++ config.base[258]: WAVE_CDUMP=both
+++ config.base[268]: [[ gfs =~ gdas ]]
+++ config.base[271]: [[ gfs =~ gfs ]]
+++ config.base[272]: export FHCYC=24
+++ config.base[272]: FHCYC=24
+++ config.base[276]: export FHMIN=0
+++ config.base[276]: FHMIN=0
+++ config.base[277]: export FHMAX=9
+++ config.base[277]: FHMAX=9
+++ config.base[278]: export FHOUT=3
+++ config.base[278]: FHOUT=3
+++ config.base[279]: export FHOUT_OCNICE=3
+++ config.base[279]: FHOUT_OCNICE=3
+++ config.base[282]: export EUPD_CYC=gdas
+++ config.base[282]: EUPD_CYC=gdas
+++ config.base[285]: export gfs_cyc=1
+++ config.base[285]: gfs_cyc=1
+++ config.base[288]: export FHMIN_GFS=0
+++ config.base[288]: FHMIN_GFS=0
+++ config.base[289]: export FHMAX_GFS=120
+++ config.base[289]: FHMAX_GFS=120
+++ config.base[290]: export FHOUT_GFS=3
+++ config.base[290]: FHOUT_GFS=3
+++ config.base[291]: export FHMAX_HF_GFS=0
+++ config.base[291]: FHMAX_HF_GFS=0
+++ config.base[292]: export FHOUT_HF_GFS=1
+++ config.base[292]: FHOUT_HF_GFS=1
+++ config.base[293]: export FHOUT_OCNICE_GFS=6
+++ config.base[293]: FHOUT_OCNICE_GFS=6
+++ config.base[294]: export FHMIN_WAV=0
+++ config.base[294]: FHMIN_WAV=0
+++ config.base[295]: export FHOUT_WAV=3
+++ config.base[295]: FHOUT_WAV=3
+++ config.base[296]: export FHMAX_HF_WAV=120
+++ config.base[296]: FHMAX_HF_WAV=120
+++ config.base[297]: export FHOUT_HF_WAV=1
+++ config.base[297]: FHOUT_HF_WAV=1
+++ config.base[298]: export FHMAX_WAV=9
+++ config.base[298]: FHMAX_WAV=9
+++ config.base[299]: export FHMAX_WAV_GFS=120
+++ config.base[299]: FHMAX_WAV_GFS=120
+++ config.base[300]: (( gfs_cyc != 0 ))
+++ config.base[301]: export STEP_GFS=24
+++ config.base[301]: STEP_GFS=24
+++ config.base[306]: export ILPOST=1
+++ config.base[306]: ILPOST=1
+++ config.base[307]: (( FHMAX_HF_GFS < 120 ))
+++ config.base[308]: export ILPOST=3
+++ config.base[308]: ILPOST=3
+++ config.base[312]: export restart_interval_gfs=12
+++ config.base[312]: restart_interval_gfs=12
+++ config.base[317]: export QUILTING=.true.
+++ config.base[317]: QUILTING=.true.
+++ config.base[318]: export OUTPUT_GRID=gaussian_grid
+++ config.base[318]: OUTPUT_GRID=gaussian_grid
+++ config.base[319]: export WRITE_DOPOST=.true.
+++ config.base[319]: WRITE_DOPOST=.true.
+++ config.base[320]: export WRITE_NSFLIP=.true.
+++ config.base[320]: WRITE_NSFLIP=.true.
+++ config.base[323]: export DOIAU=YES
+++ config.base[323]: DOIAU=YES
+++ config.base[324]: export IAUFHRS=3,6,9
+++ config.base[324]: IAUFHRS=3,6,9
+++ config.base[325]: export IAU_FHROT=3
+++ config.base[325]: IAU_FHROT=3
+++ config.base[326]: export IAU_DELTHRS=6
+++ config.base[326]: IAU_DELTHRS=6
+++ config.base[327]: export IAU_OFFSET=6
+++ config.base[327]: IAU_OFFSET=6
+++ config.base[328]: export DOIAU_ENKF=YES
+++ config.base[328]: DOIAU_ENKF=YES
+++ config.base[329]: export IAUFHRS_ENKF=3,6,9
+++ config.base[329]: IAUFHRS_ENKF=3,6,9
+++ config.base[330]: export IAU_DELTHRS_ENKF=6
+++ config.base[330]: IAU_DELTHRS_ENKF=6
+++ config.base[333]: export lobsdiag_forenkf=.true.
+++ config.base[333]: lobsdiag_forenkf=.true.
+++ config.base[341]: export imp_physics=8
+++ config.base[341]: imp_physics=8
+++ config.base[345]: export DO_JEDIATMVAR=NO
+++ config.base[345]: DO_JEDIATMVAR=NO
+++ config.base[346]: export DO_JEDIATMENS=NO
+++ config.base[346]: DO_JEDIATMENS=NO
+++ config.base[347]: export DO_JEDIOCNVAR=NO
+++ config.base[347]: DO_JEDIOCNVAR=NO
+++ config.base[348]: export DO_JEDISNOWDA=NO
+++ config.base[348]: DO_JEDISNOWDA=NO
+++ config.base[349]: export DO_MERGENSST=NO
+++ config.base[349]: DO_MERGENSST=NO
+++ config.base[352]: export DOHYBVAR=@DOHYBVAR@
+++ config.base[352]: DOHYBVAR=@DOHYBVAR@
+++ config.base[353]: export NMEM_ENS=0
+++ config.base[353]: NMEM_ENS=0
+++ config.base[354]: export SMOOTH_ENKF=NO
+++ config.base[354]: SMOOTH_ENKF=NO
+++ config.base[355]: export l4densvar=.true.
+++ config.base[355]: l4densvar=.true.
+++ config.base[356]: export lwrite4danl=.true.
+++ config.base[356]: lwrite4danl=.true.
+++ config.base[357]: export DO_CALC_INCREMENT=NO
+++ config.base[357]: DO_CALC_INCREMENT=NO
+++ config.base[360]: export NMEM_ENS_GFS=30
+++ config.base[360]: NMEM_ENS_GFS=30
+++ config.base[361]: export NMEM_ENS_GFS_OFFSET=20
+++ config.base[361]: NMEM_ENS_GFS_OFFSET=20
+++ config.base[362]: export DO_CALC_INCREMENT_ENKF_GFS=NO
+++ config.base[362]: DO_CALC_INCREMENT_ENKF_GFS=NO
+++ config.base[365]: [[ @DOHYBVAR@ = \Y\E\S ]]
+++ config.base[379]: [[ @DOHYBVAR@ == \N\O ]]
+++ config.base[387]: export ENKF_SPREAD=YES
+++ config.base[387]: ENKF_SPREAD=YES
+++ config.base[390]: [[ forecast-only = \c\y\c\l\e\d ]]
+++ config.base[390]: [[ YES = \N\O ]]
+++ config.base[390]: [[ forecast-only = \f\o\r\e\c\a\s\t\-\o\n\l\y ]]
+++ config.base[390]: [[ .false. = \.\f\a\l\s\e\. ]]
+++ config.base[391]: export IAU_OFFSET=0
+++ config.base[391]: IAU_OFFSET=0
+++ config.base[392]: export IAU_FHROT=0
+++ config.base[392]: IAU_FHROT=0
+++ config.base[393]: export IAUFHRS=6
+++ config.base[393]: IAUFHRS=6
+++ config.base[396]: [[ YES = \N\O ]]
+++ config.base[400]: [[ YES == \Y\E\S ]]
+++ config.base[401]: export restart_interval_enkfgdas=3
+++ config.base[401]: restart_interval_enkfgdas=3
+++ config.base[406]: export restart_interval_enkfgfs=3
+++ config.base[406]: restart_interval_enkfgfs=3
+++ config.base[408]: [[ YES == \Y\E\S ]]
+++ config.base[409]: export restart_interval_gdas=3
+++ config.base[409]: restart_interval_gdas=3
+++ config.base[414]: export GSI_SOILANAL=NO
+++ config.base[414]: GSI_SOILANAL=NO
+++ config.base[417]: export DONST=YES
+++ config.base[417]: DONST=YES
+++ config.base[418]: [[ YES = \Y\E\S ]]
+++ config.base[418]: export 'FNTSFA= '
+++ config.base[418]: FNTSFA=' '
+++ config.base[421]: export nst_anl=.true.
+++ config.base[421]: nst_anl=.true.
+++ config.base[424]: export MAKE_NSSTBUFR=NO
+++ config.base[424]: MAKE_NSSTBUFR=NO
+++ config.base[427]: export MAKE_ACFTBUFR=NO
+++ config.base[427]: MAKE_ACFTBUFR=NO
+++ config.base[430]: export 'INCREMENTS_TO_ZERO='\''liq_wat_inc'\'','\''icmr_inc'\'','\''rwmr_inc'\'','\''snmr_inc'\'','\''grle_inc'\'''
+++ config.base[430]: INCREMENTS_TO_ZERO=''\''liq_wat_inc'\'','\''icmr_inc'\'','\''rwmr_inc'\'','\''snmr_inc'\'','\''grle_inc'\'''
+++ config.base[433]: export 'INCVARS_ZERO_STRAT='\''sphum_inc'\'','\''liq_wat_inc'\'','\''icmr_inc'\'','\''rwmr_inc'\'','\''snmr_inc'\'','\''grle_inc'\'''
+++ config.base[433]: INCVARS_ZERO_STRAT=''\''sphum_inc'\'','\''liq_wat_inc'\'','\''icmr_inc'\'','\''rwmr_inc'\'','\''snmr_inc'\'','\''grle_inc'\'''
+++ config.base[434]: export INCVARS_EFOLD=5
+++ config.base[434]: INCVARS_EFOLD=5
+++ config.base[439]: export netcdf_diag=.true.
+++ config.base[439]: netcdf_diag=.true.
+++ config.base[440]: export binary_diag=.false.
+++ config.base[440]: binary_diag=.false.
+++ config.base[443]: export DO_METP=NO
+++ config.base[443]: DO_METP=NO
+++ config.base[444]: export DO_FIT2OBS=YES
+++ config.base[444]: DO_FIT2OBS=YES
+++ config.base[445]: export DO_VRFY_OCEANDA=NO
+++ config.base[445]: DO_VRFY_OCEANDA=NO
+++ config.base[448]: export FHMAX_FITS=132
+++ config.base[448]: FHMAX_FITS=132
+++ config.base[449]: [[ 132 -gt 120 ]]
+++ config.base[449]: export FHMAX_FITS=120
+++ config.base[449]: FHMAX_FITS=120
+++ config.base[452]: export HPSSARCH=YES
+++ config.base[452]: HPSSARCH=YES
+++ config.base[453]: export LOCALARCH=NO
+++ config.base[453]: LOCALARCH=NO
+++ config.base[454]: [[ YES = \Y\E\S ]]
+++ config.base[454]: [[ NO = \Y\E\S ]]
+++ config.base[458]: export ARCH_CYC=00
+++ config.base[458]: ARCH_CYC=00
+++ config.base[459]: export ARCH_WARMICFREQ=4
+++ config.base[459]: ARCH_WARMICFREQ=4
+++ config.base[460]: export ARCH_FCSTICFREQ=1
+++ config.base[460]: ARCH_FCSTICFREQ=1
+++ config.base[463]: [[ NO = \Y\E\S ]]
+++ config.base[470]: export NUM_SND_COLLECTIVES=9
+++ config.base[470]: NUM_SND_COLLECTIVES=9
+++ config.base[472]: echo 'END: config.base'
END: config.base
++ jjob_header.sh[99]: status=0
++ jjob_header.sh[100]: (( status != 0 ))
++ jjob_header.sh[97]: for config in "${configs[@]:-''}"
++ jjob_header.sh[98]: source /scratch1/NCEPDEV/global/CI/2663/RUNTESTS/EXPDIR/C48_S2SW_ccd87d4f/config.arch
+++ config.arch[6]: echo 'BEGIN: config.arch'
BEGIN: config.arch
+++ config.arch[9]: . /scratch1/NCEPDEV/global/CI/2663/RUNTESTS/EXPDIR/C48_S2SW_ccd87d4f/config.resources arch
++++ config.resources[7]: (( 1 != 1 ))
++++ config.resources[31]: step=arch
++++ config.resources[33]: echo 'BEGIN: config.resources'
BEGIN: config.resources
++++ config.resources[35]: case ${machine} in
++++ config.resources[37]: npe_node_max=40
++++ config.resources[72]: export npe_node_max
++++ config.resources[74]: case ${step} in
++++ config.resources[923]: declare -x wtime_arch=06:00:00
++++ config.resources[924]: declare -x npe_arch=1
++++ config.resources[925]: declare -x npe_node_arch=1
++++ config.resources[926]: declare -x nth_arch=1
++++ config.resources[927]: declare -x memory_arch=4096M
++++ config.resources[928]: [[ HERA == \W\C\O\S\S\2 ]]
++++ config.resources[1300]: echo 'END: config.resources'
END: config.resources
+++ config.arch[11]: export ARCH_GAUSSIAN=YES
+++ config.arch[11]: ARCH_GAUSSIAN=YES
+++ config.arch[12]: export ARCH_GAUSSIAN_FHMAX=120
+++ config.arch[12]: ARCH_GAUSSIAN_FHMAX=120
+++ config.arch[13]: export ARCH_GAUSSIAN_FHINC=3
+++ config.arch[13]: ARCH_GAUSSIAN_FHINC=3
+++ config.arch[15]: echo 'END: config.arch'
END: config.arch
++ jjob_header.sh[99]: status=0
++ jjob_header.sh[100]: (( status != 0 ))
++ jjob_header.sh[110]: source /scratch1/NCEPDEV/global/CI/2663/gfs/env/HERA.env arch
+++ HERA.env[3]: [[ 1 -ne 1 ]]
+++ HERA.env[15]: step=arch
+++ HERA.env[17]: export 'launcher=srun -l --export=ALL'
+++ HERA.env[17]: launcher='srun -l --export=ALL'
+++ HERA.env[18]: export 'mpmd_opt=--multi-prog --output=mpmd.%j.%t.out'
+++ HERA.env[18]: mpmd_opt='--multi-prog --output=mpmd.%j.%t.out'
+++ HERA.env[29]: export OMP_STACKSIZE=2048000
+++ HERA.env[29]: OMP_STACKSIZE=2048000
+++ HERA.env[30]: export NTHSTACK=1024000000
+++ HERA.env[30]: NTHSTACK=1024000000
+++ HERA.env[34]: [[ -n 61437950 ]]
+++ HERA.env[35]: ulimit -s unlimited
+++ HERA.env[36]: ulimit -a
core file size (blocks, -c) unlimited
data seg size (kbytes, -d) unlimited
scheduling priority (-e) 0
file size (blocks, -f) unlimited
pending signals (-i) 767066
max locked memory (kbytes, -l) unlimited
max memory size (kbytes, -m) 4194304
open files (-n) 131072
pipe size (512 bytes, -p) 8
POSIX message queues (bytes, -q) 819200
real-time priority (-r) 0
stack size (kbytes, -s) unlimited
cpu time (seconds, -t) unlimited
max user processes (-u) 767066
virtual memory (kbytes, -v) unlimited
file locks (-x) unlimited
+++ HERA.env[39]: [[ arch = \p\r\e\p ]]
+++ HERA.env[39]: [[ arch = \p\r\e\p\b\u\f\r ]]
+++ HERA.env[48]: [[ arch = \p\r\e\p\s\n\o\w\o\b\s ]]
+++ HERA.env[52]: [[ arch = \p\r\e\p\_\e\m\i\s\s\i\o\n\s ]]
+++ HERA.env[56]: [[ arch = \w\a\v\e\i\n\i\t ]]
+++ HERA.env[56]: [[ arch = \w\a\v\e\p\r\e\p ]]
+++ HERA.env[56]: [[ arch = \w\a\v\e\p\o\s\t\s\b\s ]]
+++ HERA.env[56]: [[ arch = \w\a\v\e\p\o\s\t\b\n\d\p\n\t ]]
+++ HERA.env[56]: [[ arch = \w\a\v\e\p\o\s\t\b\n\d\p\n\t\b\l\l ]]
+++ HERA.env[56]: [[ arch = \w\a\v\e\p\o\s\t\p\n\t ]]
+++ HERA.env[63]: [[ arch = \a\t\m\a\n\l\v\a\r ]]
+++ HERA.env[71]: [[ arch = \a\t\m\e\n\s\a\n\l\l\e\t\k\f ]]
+++ HERA.env[79]: [[ arch = \a\t\m\e\n\s\a\n\l\f\v\3\i\n\c ]]
+++ HERA.env[87]: [[ arch = \a\e\r\o\a\n\l\r\u\n ]]
+++ HERA.env[97]: [[ arch = \a\t\m\a\n\l\f\v\3\i\n\c ]]
+++ HERA.env[105]: [[ arch = \s\n\o\w\a\n\l ]]
+++ HERA.env[115]: [[ arch = \o\c\n\a\n\a\l\b\m\a\t ]]
+++ HERA.env[121]: [[ arch = \o\c\n\a\n\a\l\r\u\n ]]
+++ HERA.env[127]: [[ arch = \o\c\n\a\n\a\l\c\h\k\p\t ]]
+++ HERA.env[133]: [[ arch = \o\c\n\a\n\a\l\e\c\e\n ]]
+++ HERA.env[141]: [[ arch = \o\c\n\a\n\a\l\l\e\t\k\f ]]
+++ HERA.env[149]: [[ arch = \a\n\a\l ]]
+++ HERA.env[149]: [[ arch = \a\n\a\l\c\a\l\c ]]
+++ HERA.env[177]: [[ arch = \s\f\c\a\n\l ]]
+++ HERA.env[186]: [[ arch = \e\o\b\s ]]
+++ HERA.env[201]: [[ arch = \e\u\p\d ]]
+++ HERA.env[213]: [[ arch = \f\c\s\t ]]
+++ HERA.env[213]: [[ arch = \e\f\c\s ]]
+++ HERA.env[229]: [[ arch = \u\p\p ]]
+++ HERA.env[237]: [[ arch = \a\t\m\o\s\_\p\r\o\d\u\c\t\s ]]
+++ HERA.env[241]: [[ arch = \o\c\e\a\n\i\c\e\_\p\r\o\d\u\c\t\s ]]
+++ HERA.env[248]: [[ arch = \e\c\e\n ]]
+++ HERA.env[264]: [[ arch = \e\s\f\c ]]
+++ HERA.env[276]: [[ arch = \e\p\o\s ]]
+++ HERA.env[284]: [[ arch = \p\o\s\t\s\n\d ]]
+++ HERA.env[298]: [[ arch = \a\w\i\p\s ]]
+++ HERA.env[306]: [[ arch = \g\e\m\p\a\k ]]
+++ HERA.env[320]: [[ arch = \f\i\t\2\o\b\s ]]
++ jjob_header.sh[111]: status=0
++ jjob_header.sh[112]: (( status != 0 ))
+ JGLOBAL_ARCHIVE[10]: export CDUMP=gfs
+ JGLOBAL_ARCHIVE[10]: CDUMP=gfs
+ JGLOBAL_ARCHIVE[12]: YMD=20210323
+ JGLOBAL_ARCHIVE[12]: HH=12
+ JGLOBAL_ARCHIVE[12]: declare_from_tmpl -rx COM_ATMOS_ANALYSIS COM_ATMOS_BUFR COM_ATMOS_GEMPAK COM_ATMOS_GENESIS COM_ATMOS_HISTORY COM_ATMOS_INPUT COM_ATMOS_MASTER COM_ATMOS_RESTART COM_ATMOS_TRACK COM_ATMOS_WMO COM_CHEM_HISTORY COM_CHEM_ANALYSIS COM_MED_RESTART COM_SNOW_ANALYSIS COM_ICE_HISTORY COM_ICE_INPUT COM_ICE_RESTART COM_ICE_GRIB COM_OBS COM_TOP COM_OCEAN_HISTORY COM_OCEAN_RESTART COM_OCEAN_GRIB COM_OCEAN_NETCDF COM_OCEAN_ANALYSIS COM_WAVE_GRID COM_WAVE_HISTORY COM_WAVE_STATION COM_WAVE_RESTART COM_ATMOS_OZNMON COM_ATMOS_RADMON COM_ATMOS_MINMON COM_CONF
+ bash_utils.sh[35]: [[ NO == \N\O ]]
+ bash_utils.sh[35]: set +x
declare_from_tmpl :: COM_ATMOS_ANALYSIS=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/atmos
declare_from_tmpl :: COM_ATMOS_BUFR=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/bufr
declare_from_tmpl :: COM_ATMOS_GEMPAK=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/gempak/
declare_from_tmpl :: COM_ATMOS_GENESIS=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/cyclone/genesis_vital
declare_from_tmpl :: COM_ATMOS_HISTORY=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history
declare_from_tmpl :: COM_ATMOS_INPUT=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/input
declare_from_tmpl :: COM_ATMOS_MASTER=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/master
declare_from_tmpl :: COM_ATMOS_RESTART=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/restart
declare_from_tmpl :: COM_ATMOS_TRACK=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/cyclone/tracks
declare_from_tmpl :: COM_ATMOS_WMO=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/wmo
declare_from_tmpl :: COM_CHEM_HISTORY=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/chem/history
declare_from_tmpl :: COM_CHEM_ANALYSIS=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/chem
declare_from_tmpl :: COM_MED_RESTART=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/med/restart
declare_from_tmpl :: COM_SNOW_ANALYSIS=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/snow
declare_from_tmpl :: COM_ICE_HISTORY=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/history
declare_from_tmpl :: COM_ICE_INPUT=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/input
declare_from_tmpl :: COM_ICE_RESTART=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/restart
declare_from_tmpl :: COM_ICE_GRIB=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ice/grib2
declare_from_tmpl :: COM_OBS=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12/obs
declare_from_tmpl :: COM_TOP=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12
declare_from_tmpl :: COM_OCEAN_HISTORY=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ocean/history
declare_from_tmpl :: COM_OCEAN_RESTART=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ocean/restart
declare_from_tmpl :: COM_OCEAN_GRIB=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ocean/grib2
declare_from_tmpl :: COM_OCEAN_NETCDF=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ocean/netcdf
declare_from_tmpl :: COM_OCEAN_ANALYSIS=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/ocean
declare_from_tmpl :: COM_WAVE_GRID=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/wave/gridded
declare_from_tmpl :: COM_WAVE_HISTORY=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/wave/history
declare_from_tmpl :: COM_WAVE_STATION=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/wave/station
declare_from_tmpl :: COM_WAVE_RESTART=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/wave/restart
declare_from_tmpl :: COM_ATMOS_OZNMON=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/oznmon
declare_from_tmpl :: COM_ATMOS_RADMON=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/radmon
declare_from_tmpl :: COM_ATMOS_MINMON=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/minmon
declare_from_tmpl :: COM_CONF=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//conf
+ JGLOBAL_ARCHIVE[25]: for grid in "0p25" "0p50" "1p00"
+ JGLOBAL_ARCHIVE[26]: YMD=20210323
+ JGLOBAL_ARCHIVE[26]: HH=12
+ JGLOBAL_ARCHIVE[26]: GRID=0p25
+ JGLOBAL_ARCHIVE[26]: declare_from_tmpl -rx COM_ATMOS_GRIB_0p25:COM_ATMOS_GRIB_GRID_TMPL
+ bash_utils.sh[35]: [[ NO == \N\O ]]
+ bash_utils.sh[35]: set +x
declare_from_tmpl :: COM_ATMOS_GRIB_0p25=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/0p25
+ JGLOBAL_ARCHIVE[25]: for grid in "0p25" "0p50" "1p00"
+ JGLOBAL_ARCHIVE[26]: YMD=20210323
+ JGLOBAL_ARCHIVE[26]: HH=12
+ JGLOBAL_ARCHIVE[26]: GRID=0p50
+ JGLOBAL_ARCHIVE[26]: declare_from_tmpl -rx COM_ATMOS_GRIB_0p50:COM_ATMOS_GRIB_GRID_TMPL
+ bash_utils.sh[35]: [[ NO == \N\O ]]
+ bash_utils.sh[35]: set +x
declare_from_tmpl :: COM_ATMOS_GRIB_0p50=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/0p50
+ JGLOBAL_ARCHIVE[25]: for grid in "0p25" "0p50" "1p00"
+ JGLOBAL_ARCHIVE[26]: YMD=20210323
+ JGLOBAL_ARCHIVE[26]: HH=12
+ JGLOBAL_ARCHIVE[26]: GRID=1p00
+ JGLOBAL_ARCHIVE[26]: declare_from_tmpl -rx COM_ATMOS_GRIB_1p00:COM_ATMOS_GRIB_GRID_TMPL
+ bash_utils.sh[35]: [[ NO == \N\O ]]
+ bash_utils.sh[35]: set +x
declare_from_tmpl :: COM_ATMOS_GRIB_1p00=/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/1p00
+ JGLOBAL_ARCHIVE[33]: /scratch1/NCEPDEV/global/CI/2663/gfs/scripts/exglobal_archive.py
2024-06-07 22:32:12,880 - INFO - root : BEGIN: __main__.main
2024-06-07 22:32:12,880 - DEBUG - root : ( )
2024-06-07 22:32:12,895 - INFO - archive : BEGIN: Archive.__init__
2024-06-07 22:32:12,895 - DEBUG - archive : ( <pygfs.task.archive.Archive object at 0x14c64d552650>, {'envir': 'prod', 'HYDRA_LAUNCHER_EXTRA_ARGS': '--external-launcher', 'FRAC_GRID': True, 'waveGRD': 'uglo_100km', '_ModuleTable051_': 'cHktb3BlbnB5eGwvMy4xLjIiLApsb2FkT3JkZXIgPSA2NiwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJweS1vcGVucHl4bC8zLjEuMiIsCndWID0gIjAwMDAwMDAwMy4wMDAwMDAwMDEuMDAwMDAwMDAyLip6ZmluYWwiLAp9LApbInB5LXBhY2thZ2luZyJdID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvcHktcGFja2FnaW5nLzIzLjEubHVhIiwKZnVsbE5hbWUgPSAicHktcGFja2FnaW5nLzIzLjEi', '_ModuleTable070_': 'L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvdzNlbWMvMi4xMC4wLmx1YSIsCmZ1bGxOYW1lID0gInczZW1jLzIuMTAuMCIsCmxvYWRPcmRlciA9IDM5LApwcm9wVCA9IHt9LApyZWZfY291bnQgPSAyLApzdGFja0RlcHRoID0gMiwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gInczZW1jLzIuMTAuMCIsCndWID0gIjAwMDAwMDAwMi4wMDAwMDAwMTAuKnpmaW5hbCIsCn0sCndncmliMiA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL3dncmliMi8yLjAuOC5sdWEi', 'G2TMPL_LIB': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2tmpl-1.10.2-76rbhf6/lib/libg2tmpl.a', 'INCVARS_ZERO_STRAT': "'sphum_inc','liq_wat_inc','icmr_inc','rwmr_inc','snmr_inc','grle_inc'", '_ModuleTable037_': 'Im9wZW5ibGFzLzAuMy4yNCIsCndWID0gIjAwMDAwMDAwMC4wMDAwMDAwMDMuMDAwMDAwMDI0Lip6ZmluYWwiLAp9LApvcGVuanBlZyA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL29wZW5qcGVnLzIuMy4xLmx1YSIsCmZ1bGxOYW1lID0gIm9wZW5qcGVnLzIuMy4xIiwKbG9hZE9yZGVyID0gMTgsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDEsCnN0YWNrRGVwdGggPSAzLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAib3BlbmpwZWcvMi4zLjEiLAp3ViA9ICIwMDAwMDAwMDIu', 'COM_SNOW_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/snow', '_ModuleTable064_': 'ZSA9ICJzbmFwcHkvMS4xLjEwIiwKd1YgPSAiMDAwMDAwMDAxLjAwMDAwMDAwMS4wMDAwMDAwMTAuKnpmaW5hbCIsCn0sCnNwID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvc3AvMi41LjAubHVhIiwKZnVsbE5hbWUgPSAic3AvMi41LjAiLApsb2FkT3JkZXIgPSA0MiwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMiwKc3RhY2tEZXB0aCA9IDMsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJzcC8yLjUuMCIsCndWID0gIjAwMDAwMDAwMi4wMDAwMDAwMDUuKnpmaW5hbCIsCn0s', 'LD_LIBRARY_PATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xarray-2023.7.0-joweppx/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-packaging-23.1-5gvg7qo/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2c-1.6.4-slwvld5/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-python-dateutil-2.8.2-3qglnj4/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-six-1.16.0-t54borv/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pandas-1.5.3-tguwpv3/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlwt-1.3.0-5nxruci/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlsxwriter-3.1.7-7l25bnt/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlrd-2.0.1-fwxe33t/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyxlsb-1.0.10-ghdqaaw/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pytz-2023.3-kkz2z3d/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-openpyxl-3.1.2-4tswswo/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-et-xmlfile-1.0.1-it6uamo/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numexpr-2.8.4-uqnmadj/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-bottleneck-1.3.7-4itjkyr/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-jinja2-3.1.2-3yb4fme/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-markupsafe-2.1.3-clrlf2z/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyyaml-6.0-4sduut5/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-netcdf4-1.5.8-haeompg/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-cftime-1.0.3.4-4s5bg7j/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-f90nml-1.4.3-b4vzhct/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numpy-1.23.4-yrvnxwk/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-setuptools-63.4.3-qppw6fo/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-2.4.0.1-zg3ykcb/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsi-ncdiag-1.1.2-vwq6ky5/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2tmpl-1.10.2-76rbhf6/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sp-2.5.0-2pqzhuv/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2-3.4.5-77xve5x/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bacio-2.4.1-wqq7qmr/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nco-5.0.6-s5fkg5v/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/antlr-2.7.7-3kdtvjg/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/c-blosc-1.21.5-2lodawi/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/snappy-1.1.10-m42xdge/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/udunits-2.2.28-k3qzmyx/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/eccodes-2.32.0-vc72ssb/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openjpeg-2.3.1-zi6uhnw/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca/lib:/apps/oneapi/mpi/2021.5.1/libfabric/lib:/apps/oneapi/mpi/2021.5.1/lib/release:/apps/oneapi/mpi/2021.5.1/lib:/apps/gnu/gcc-9.2.0/lib64:/apps/oneapi/mkl/2022.0.2/lib/intel64:/apps/oneapi/compiler/2022.0.2/linux/lib:/apps/oneapi/compiler/2022.0.2/linux/lib/x64:/apps/oneapi/compiler/2022.0.2/linux/lib/oclfpga/host/linux64/lib:/apps/oneapi/compiler/2022.0.2/linux/compiler/lib/intel64_lin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp/lib', 'sp_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sp-2.5.0-2pqzhuv', 'ARCH_GAUSSIAN_FHINC': 3, 'zlib_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z', 'AERO_ANL_CDUMP': '', 'SENDDBN_NTC': False, 'COM_ATMOS_RADMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/radmon', '__LMOD_REF_COUNT_HDF5_PLUGIN_PATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/plugins:1', 'COM_ICE_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/grib2', 'ROTDIR_DUMP': True, 'COMINnam': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/nam', '_ModuleTable017_': 'ZXJOYW1lID0gImdpdC1sZnMvMi4xMC4wIiwKd1YgPSAiMDAwMDAwMDAyLjAwMDAwMDAxMC4qemZpbmFsIiwKfSwKZ21ha2UgPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC9nbWFrZS8zLjgyLmx1YSIsCmZ1bGxOYW1lID0gImdtYWtlLzMuODIiLApsb2FkT3JkZXIgPSA1NCwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJnbWFrZS8zLjgyIiwKd1YgPSAiMDAwMDAwMDAzLjAwMDAwMDA4Mi4qemZpbmFs', 'SLURM_NODEID': 0, '_ModuleTable032_': 'YW1lID0gIm5jby81LjAuNiIsCmxvYWRPcmRlciA9IDM3LApwcm9wVCA9IHt9LApzdGFja0RlcHRoID0gMSwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gIm5jby81LjAuNiIsCndWID0gIjAwMDAwMDAwNS4wMDAwMDAwMDAuMDAwMDAwMDA2Lip6ZmluYWwiLAp9LApbIm5ldGNkZi1jIl0gPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC1vbmVhcGktbXBpLzIwMjEuNS4xL2ludGVsLzIwMjEuNS4wL25ldGNkZi1jLzQuOS4yLmx1YSIsCmZ1bGxOYW1lID0gIm5ldGNkZi1jLzQuOS4yIiwKbG9hZE9y', 'COM_OCEAN_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ocean/restart', 'WRITE_DOPOST': True, 'SLURM_TASK_PID': 1192391, 'prepobs_run_ver': '1.0.1', 'py_pandas_ver': '1.5.3', 'met_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/met-9.1.3-yw4trjl', 'GEMNTS': '/apps/gempak/7.17.0/gempak/nts', '__LMOD_REF_COUNT_PATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/metplus-3.1.1-w6whvyc/ush:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/met-9.1.3-yw4trjl/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlsxwriter-3.1.7-7l25bnt/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlrd-2.0.1-fwxe33t/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-netcdf4-1.5.8-haeompg/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-f90nml-1.4.3-b4vzhct/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numpy-1.23.4-yrvnxwk/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsi-ncdiag-1.1.2-vwq6ky5/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nco-5.0.6-s5fkg5v/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/antlr-2.7.7-3kdtvjg/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp/bin:2;/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-5.38.0-yl7cdgbmiic3h7gbvdthgequuixjvuja/bin:1;/apps/R/3.6.1/bin:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/udunits-2.2.28-k3qzmyx/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos/bin:2;/apps/ncl/6.6.2-gcc-13.2.0/bin:1;/apps/gempak/7.17.0/os/linux64/bin:1;/apps/gempak/7.17.0/bin:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl/bin:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn/bin:2;/apps/oneapi/mpi/2021.5.1/libfabric/bin:1;/apps/oneapi/mpi/2021.5.1/bin:1;/apps/gnu/gcc-9.2.0/bin:1;/apps/oneapi/mkl/2022.0.2/bin/intel64:1;/apps/oneapi/compiler/2022.0.2/linux/lib/oclfpga/bin:1;/apps/oneapi/compiler/2022.0.2/linux/bin/intel64:1;/apps/oneapi/compiler/2022.0.2/linux/bin:1;/apps/slurm/archive/bin:1;/apps/slurm/default/bin:1;/apps/slurm/default/sbin:1;/usr/local/bin:1;/usr/bin:1;/usr/local/sbin:1;/usr/sbin:1;/apps/hpss:1;/apps/local/bin:1;/apps/local/sbin:1;/apps/bin:1;/home/Terry.McGuinness/bin:1', '_ModuleTable061_': 'ZXIvMy4xLjcubHVhIiwKZnVsbE5hbWUgPSAicHkteGxzeHdyaXRlci8zLjEuNyIsCmxvYWRPcmRlciA9IDcwLApwcm9wVCA9IHt9LApyZWZfY291bnQgPSAxLApzdGFja0RlcHRoID0gMiwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gInB5LXhsc3h3cml0ZXIvMy4xLjciLAp3ViA9ICIwMDAwMDAwMDMuMDAwMDAwMDAxLjAwMDAwMDAwNy4qemZpbmFsIiwKfSwKWyJweS14bHd0Il0gPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC9weS14bHd0LzEuMy4wLmx1YSIsCmZ1bGxOYW1l', '_ModuleTable002_': 'MDAwMDAwNi4wMDAwMDAwMDEuKnpmaW5hbCIsCn0sCmFudGxyID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvYW50bHIvMi43LjcubHVhIiwKZnVsbE5hbWUgPSAiYW50bHIvMi43LjciLApsb2FkT3JkZXIgPSAzNSwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJhbnRsci8yLjcuNyIsCndWID0gIjAwMDAwMDAwMi4wMDAwMDAwMDcuMDAwMDAwMDA3Lip6ZmluYWwiLAp9LApiYWNpbyA9IHsKZm4gPSAi', 'FPGA_VARS_ARGS': '', 'libpng_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi', 'GLOBUS_TCP_SOURCE_RANGE': '40000,46999', 'RUN': 'gfs', '_ModuleTable047_': 'Y2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL3B5LW1hcmt1cHNhZmUvMi4xLjMubHVhIiwKZnVsbE5hbWUgPSAicHktbWFya3Vwc2FmZS8yLjEuMyIsCmxvYWRPcmRlciA9IDYxLApwcm9wVCA9IHt9LApyZWZfY291bnQgPSAxLApzdGFja0RlcHRoID0gMiwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gInB5LW1hcmt1cHNhZmUvMi4xLjMiLAp3ViA9ICIwMDAwMDAwMDIuMDAwMDAwMDAxLjAwMDAwMDAwMy4qemZpbmFsIiwKfSwKWyJweS1uZXRjZGY0Il0gPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9t', 'ARCH_WARMICFREQ': 4, '__LMOD_REF_COUNT_DYLD_LIBRARY_PATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xarray-2023.7.0-joweppx/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-packaging-23.1-5gvg7qo/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2c-1.6.4-slwvld5/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-python-dateutil-2.8.2-3qglnj4/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-six-1.16.0-t54borv/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pandas-1.5.3-tguwpv3/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlwt-1.3.0-5nxruci/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlsxwriter-3.1.7-7l25bnt/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlrd-2.0.1-fwxe33t/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyxlsb-1.0.10-ghdqaaw/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pytz-2023.3-kkz2z3d/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-openpyxl-3.1.2-4tswswo/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-et-xmlfile-1.0.1-it6uamo/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numexpr-2.8.4-uqnmadj/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-bottleneck-1.3.7-4itjkyr/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-jinja2-3.1.2-3yb4fme/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-markupsafe-2.1.3-clrlf2z/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyyaml-6.0-4sduut5/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-netcdf4-1.5.8-haeompg/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-cftime-1.0.3.4-4s5bg7j/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-f90nml-1.4.3-b4vzhct/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numpy-1.23.4-yrvnxwk/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-setuptools-63.4.3-qppw6fo/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-2.4.0.1-zg3ykcb/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsi-ncdiag-1.1.2-vwq6ky5/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2tmpl-1.10.2-76rbhf6/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sp-2.5.0-2pqzhuv/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2-3.4.5-77xve5x/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bacio-2.4.1-wqq7qmr/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nco-5.0.6-s5fkg5v/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/antlr-2.7.7-3kdtvjg/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/c-blosc-1.21.5-2lodawi/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/snappy-1.1.10-m42xdge/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/udunits-2.2.28-k3qzmyx/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/eccodes-2.32.0-vc72ssb/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openjpeg-2.3.1-zi6uhnw/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca/lib:1', 'CRTM_FIX': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-fix-2.4.0.1_emc-bm46d3q/fix', 'memory_arch': '4096M', 'COM_RTOFS_TMPL': '${DMPDIR}', 'SLURM_PRIO_PROCESS': 0, 'COM_ATMOS_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/grib2/${GRID}', '__LMOD_REF_COUNT_XUSERFILESEARCHPATH': '/apps/gempak/7.17.0/resource/%N:1', 'COM_ICE_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/history', 'OMP_STACKSIZE': 2048000, 'I_MPI_PMI_LIBRARY': '/apps/slurm/default/lib/libpmi2.so', 'openjpeg_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openjpeg-2.3.1-zi6uhnw', 'NUM_SND_COLLECTIVES': 9, 'OCL_ICD_FILENAMES': 'libintelocl_emu.so:libalteracl.so:/apps/oneapi/compiler/2022.0.2/linux/lib/x64/libintelocl.so', 'COM_ATMOS_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history', 'PSLOT': 'C48_S2SW_ccd87d4f', 'QUEUE': 'batch', 'DOIAU': True, 'GEMPARM': '/apps/gempak/7.17.0/gempak/parm', 'PACKAGEROOT': '/scratch1/NCEPDEV/global/glopara/nwpara', 'NDATE': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl/bin/ndate', 'COM_ICE_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/restart', 'LMOD_FAMILY_MPI_VERSION': '2022.1.2', 'py_netcdf4_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-netcdf4-1.5.8-haeompg', 'ARCH_CYC': 0, 'I_MPI_HYDRA_BOOTSTRAP_EXEC_EXTRA_ARGS': '--external-launcher', 'EUPD_CYC': 'gdas', '_ModuleTable056_': 'MDAxLjAwMDAwMDAwMC4wMDAwMDAwMTAuKnpmaW5hbCIsCn0sClsicHktcHl5YW1sIl0gPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC9weS1weXlhbWwvNi4wLmx1YSIsCmZ1bGxOYW1lID0gInB5LXB5eWFtbC82LjAiLApsb2FkT3JkZXIgPSA2MCwKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJweS1weXlhbWwvNi4wIiwKd1YgPSAiMDAwMDAwMDA2Lip6ZmluYWwiLAp9LApbInB5LXNldHVwdG9vbHMiXSA9IHsKZm4gPSAiL3Nj', 'CONFIGDIR': '/apps/gempak/7.17.0/config', 'netcdf_c_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k', 'SLURM_SUBMIT_DIR': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/EXPDIR/C48_S2SW_ccd87d4f', 'I_MPI_F90': 'ifort', '_ModuleTable019_': 'cy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLW9uZWFwaS1tcGkvMjAyMS41LjEvaW50ZWwvMjAyMS41LjAvZ3NpLW5jZGlhZy8xLjEuMi5sdWEiLApmdWxsTmFtZSA9ICJnc2ktbmNkaWFnLzEuMS4yIiwKbG9hZE9yZGVyID0gNDYsCnByb3BUID0ge30sCnN0YWNrRGVwdGggPSAxLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAiZ3NpLW5jZGlhZy8xLjEuMiIsCndWID0gIjAwMDAwMDAwMS4wMDAwMDAwMDEuMDAwMDAwMDAyLip6ZmluYWwiLAp9LApnc2wgPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNr', 'HISTCONTROL': 'ignoredups', 'EXECcfs': '/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1/exec', 'nth_arch': 1, 'imp_physics': 8, 'QUEUE_SERVICE': 'batch', 'COM_ICE_NETCDF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/netcdf', '_ModuleTable008_': 'Y2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL2NydG0tZml4LzIuNC4wLjFfZW1jLmx1YSIsCmZ1bGxOYW1lID0gImNydG0tZml4LzIuNC4wLjFfZW1jIiwKbG9hZE9yZGVyID0gNDcsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDEsCnN0YWNrRGVwdGggPSAyLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAiY3J0bS1maXgvMi40LjAuMV9lbWMiLAp3ViA9ICIwMDAwMDAwMDIuMDAwMDAwMDA0LjAwMDAwMDAwMC4wMDAwMDAwMDEuKl8uKmVtYy4qemZpbmFsIiwKfSwKY3VybCA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2sv', 'OMPI_MCA_plm_slurm_args': '--external-launcher', 'COM_OBS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12/obs', 'SMOOTH_ENKF': False, 'spack_mod_path': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/Core', 'LMOD_FAMILY_COMPILER_VERSION': '2022.1.2', 'IAU_DELTHRS_ENKF': 6, 'COM_ATMOS_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/grib2', 'gsl_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq', 'cyc': 12, 'HOSTNAME': 'hfe01', 'W3EMC_INCd': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa/include_d', 'LMOD_SYSTEM_DEFAULT_MODULES': 'contrib', 'OLDPWD': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/EXPDIR/C48_S2SW_ccd87d4f', 'g2_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2-3.4.5-77xve5x', 'util_linux_uuid_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl', 'WAVE_CDUMP': 'both', 'stack_intel_ver': '2021.5.0', 'COM_WAVE_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/restart', 'COM_ATMOS_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/restart', 'MEL_BUFR_TABLES': '/apps/gempak/7.17.0/gempak/tables/melbufr', 'SENDSDM': False, 'COM_ATMOS_BUFR_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/bufr', 'netcdf_c_ver': '4.9.2', 'py_pandas_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pandas-1.5.3-tguwpv3', 'NMEM_ENS_GFS_OFFSET': 20, 'COM_WAVE_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/wave/history', 'libpng_ver': '1.6.37', 'SLURM_CPUS_PER_TASK': 1, 'DO_FIT2OBS': True, 'DO_ICE': True, 'CHGRP_CMD': 'chgrp rstprod', 'DO_NEST': False, 'met_ver': '9.1.3', 'ENVIRONMENT': 'BATCH', 'REPO_URL': 'https://github.com/TerrenceMcGuinness-NOAA/global-workflow.git', 'py_et_xmlfile_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-et-xmlfile-1.0.1-it6uamo', 'PARMgfs': '/scratch1/NCEPDEV/global/CI/2663/gfs/parm', 'COM_WAVE_PREP_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/prep', 'ACL_BOARD_VENDOR_PATH': '/opt/Intel/OpenCLFPGA/oneAPI/Boards', 'py_numexpr_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numexpr-2.8.4-uqnmadj', 'GEMPTXT': '/apps/gempak/7.17.0/gempak/txt/programs', 'SERIAL_FC': '/apps/oneapi/compiler/2022.0.2/linux/bin/intel64/ifort', 'OS_INC': '/apps/gempak/7.17.0/os/linux64/include', 'py_jinja2_ver': '3.1.2', 'GSL_ROOT_DIR': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq', 'BASE_ENV': '/scratch1/NCEPDEV/global/CI/2663/gfs/env', 'NLN': '/bin/ln -sf', 'I_MPI_PIN_RESPECT_CPUSET': 'off', 'nst_anl': True, 'job': 'arch', 'RESERVATION': '', 'hdf5_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp', 'BUFR_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj', '_ModuleTable039_': 'MjEuNS4wL3BrZy1jb25maWcvMC4yNy4xLmx1YSIsCmZ1bGxOYW1lID0gInBrZy1jb25maWcvMC4yNy4xIiwKbG9hZE9yZGVyID0gMjgsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDEsCnN0YWNrRGVwdGggPSAyLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAicGtnLWNvbmZpZy8wLjI3LjEiLAp3ViA9ICIwMDAwMDAwMDAuMDAwMDAwMDI3LjAwMDAwMDAwMS4qemZpbmFsIiwKfSwKcHJlcG9icyA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvZ2xvYmFsL2dsb3BhcmEvZ2l0L3ByZXBvYnMvZGV2LWdmc3YxNy9tb2R1bGVmaWxlcy9wcmVwb2JzLzEuMC4xLmx1YSIsCmZ1bGxOYW1lID0gInByZXBvYnMvMS4wLjEiLApsb2FkT3JkZXIgPSA4MSwKcHJvcFQgPSB7fSwK', 'gfs_cyc': 1, 'COM_CHEM_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/chem/history', '_ModuleTable007_': 'ZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC1vbmVhcGktbXBpLzIwMjEuNS4xL2ludGVsLzIwMjEuNS4wL2NydG0vMi40LjAuMS5sdWEiLApmdWxsTmFtZSA9ICJjcnRtLzIuNC4wLjEiLApsb2FkT3JkZXIgPSA0OSwKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJjcnRtLzIuNC4wLjEiLAp3ViA9ICIwMDAwMDAwMDIuMDAwMDAwMDA0LjAwMDAwMDAwMC4wMDAwMDAwMDEuKnpmaW5hbCIsCn0sClsiY3J0bS1maXgiXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3Rh', 'COM_SNOW_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/snow', 'W3EMC_INC4': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa/include_4', 'COM_ATMOS_MINMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/minmon', 'OUTPUT_GRID': 'gaussian_grid', 'EXPDIR': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/EXPDIR/C48_S2SW_ccd87d4f', 'W3EMC_INC8': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa/include_8', '__LMOD_REF_COUNT_NLSPATH': '/apps/oneapi/mkl/2022.0.2/lib/intel64/locale/%l_%t/%N:1;/apps/oneapi/compiler/2022.0.2/linux/compiler/lib/intel64_lin/locale/%l_%t/%N:1', 'USHprepobs': '/scratch1/NCEPDEV/global/glopara/git/prepobs/dev-gfsv17/ush', 'FHMIN': 0, '_ModuleTable026_': 'LXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC9saWJwbmcvMS42LjM3Lmx1YSIsCmZ1bGxOYW1lID0gImxpYnBuZy8xLjYuMzciLApsb2FkT3JkZXIgPSAxNywKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJsaWJwbmcvMS42LjM3IiwKd1YgPSAiMDAwMDAwMDAxLjAwMDAwMDAwNi4wMDAwMDAwMzcuKnpmaW5hbCIsCn0sCmxpYnhjcnlwdCA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVs', 'COM_ATMOS_GRIB_0p25': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/0p25', 'py_packaging_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-packaging-23.1-5gvg7qo', 'VERBOSE': True, 'TOCGRIB': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h/bin/tocgrib', 'KDEDIRS': '/usr', 'NHOUR': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl/bin/nhour', 'SQUEUE_FORMAT': '%.10i %10P %24j %16u %8T %.8M %.10l %.5D %R', 'FHMAX_GFS': 120, 'SLURM_PROCID': 0, 'SLURM_JOB_GID': 11904, 'DYLD_LIBRARY_PATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xarray-2023.7.0-joweppx/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-packaging-23.1-5gvg7qo/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2c-1.6.4-slwvld5/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-python-dateutil-2.8.2-3qglnj4/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-six-1.16.0-t54borv/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pandas-1.5.3-tguwpv3/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlwt-1.3.0-5nxruci/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlsxwriter-3.1.7-7l25bnt/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlrd-2.0.1-fwxe33t/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyxlsb-1.0.10-ghdqaaw/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pytz-2023.3-kkz2z3d/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-openpyxl-3.1.2-4tswswo/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-et-xmlfile-1.0.1-it6uamo/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numexpr-2.8.4-uqnmadj/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-bottleneck-1.3.7-4itjkyr/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-jinja2-3.1.2-3yb4fme/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-markupsafe-2.1.3-clrlf2z/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyyaml-6.0-4sduut5/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-netcdf4-1.5.8-haeompg/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-cftime-1.0.3.4-4s5bg7j/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-f90nml-1.4.3-b4vzhct/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numpy-1.23.4-yrvnxwk/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-setuptools-63.4.3-qppw6fo/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-2.4.0.1-zg3ykcb/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsi-ncdiag-1.1.2-vwq6ky5/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2tmpl-1.10.2-76rbhf6/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sp-2.5.0-2pqzhuv/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2-3.4.5-77xve5x/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bacio-2.4.1-wqq7qmr/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nco-5.0.6-s5fkg5v/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/antlr-2.7.7-3kdtvjg/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/c-blosc-1.21.5-2lodawi/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/snappy-1.1.10-m42xdge/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/udunits-2.2.28-k3qzmyx/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/eccodes-2.32.0-vc72ssb/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openjpeg-2.3.1-zi6uhnw/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos/lib64:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z/lib:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca/lib', 'FIXreg2grb2': '/scratch1/NCEPDEV/global/CI/2663/gfs/fix/reg2grb2', 'I_MPI_HYDRA_BOOTSTRAP': 'slurm', 'COM_WAVE_GEMPAK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/gempak', '__LMOD_REF_COUNT_LD_LIBRARY_PATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xarray-2023.7.0-joweppx/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-packaging-23.1-5gvg7qo/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2c-1.6.4-slwvld5/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-python-dateutil-2.8.2-3qglnj4/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-six-1.16.0-t54borv/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pandas-1.5.3-tguwpv3/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlwt-1.3.0-5nxruci/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlsxwriter-3.1.7-7l25bnt/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlrd-2.0.1-fwxe33t/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyxlsb-1.0.10-ghdqaaw/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pytz-2023.3-kkz2z3d/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-openpyxl-3.1.2-4tswswo/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-et-xmlfile-1.0.1-it6uamo/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numexpr-2.8.4-uqnmadj/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-bottleneck-1.3.7-4itjkyr/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-jinja2-3.1.2-3yb4fme/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-markupsafe-2.1.3-clrlf2z/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyyaml-6.0-4sduut5/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-netcdf4-1.5.8-haeompg/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-cftime-1.0.3.4-4s5bg7j/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-f90nml-1.4.3-b4vzhct/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numpy-1.23.4-yrvnxwk/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-setuptools-63.4.3-qppw6fo/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-2.4.0.1-zg3ykcb/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsi-ncdiag-1.1.2-vwq6ky5/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2tmpl-1.10.2-76rbhf6/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sp-2.5.0-2pqzhuv/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2-3.4.5-77xve5x/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bacio-2.4.1-wqq7qmr/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nco-5.0.6-s5fkg5v/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/antlr-2.7.7-3kdtvjg/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/c-blosc-1.21.5-2lodawi/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/snappy-1.1.10-m42xdge/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/udunits-2.2.28-k3qzmyx/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/eccodes-2.32.0-vc72ssb/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openjpeg-2.3.1-zi6uhnw/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos/lib64:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z/lib:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca/lib:1;/apps/oneapi/mpi/2021.5.1/libfabric/lib:1;/apps/oneapi/mpi/2021.5.1/lib/release:1;/apps/oneapi/mpi/2021.5.1/lib:1;/apps/gnu/gcc-9.2.0/lib64:1;/apps/oneapi/mkl/2022.0.2/lib/intel64:1;/apps/oneapi/compiler/2022.0.2/linux/lib:1;/apps/oneapi/compiler/2022.0.2/linux/lib/x64:1;/apps/oneapi/compiler/2022.0.2/linux/lib/oclfpga/host/linux64/lib:1;/apps/oneapi/compiler/2022.0.2/linux/compiler/lib/intel64_lin:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp/lib:2', 'EDATE': datetime.datetime(2021, 3, 23, 12, 0), '_ModuleTable049_': 'bnRlbC8yMDIxLjUuMC9weS1udW1leHByLzIuOC40Lmx1YSIsCmZ1bGxOYW1lID0gInB5LW51bWV4cHIvMi44LjQiLApsb2FkT3JkZXIgPSA2NCwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJweS1udW1leHByLzIuOC40IiwKd1YgPSAiMDAwMDAwMDAyLjAwMDAwMDAwOC4wMDAwMDAwMDQuKnpmaW5hbCIsCn0sClsicHktbnVtcHkiXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL3B5LW51bXB5LzEu', 'GEMLIB': '/apps/gempak/7.17.0/os/linux64/lib', '__LMOD_REF_COUNT_PKG_CONFIG_PATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h/lib/pkgconfig:2;/usr/share/pkgconfig:4;/usr/lib64/pkgconfig:8;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/c-blosc-1.21.5-2lodawi/lib64/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/snappy-1.1.10-m42xdge/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/lib64/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/eccodes-2.32.0-vc72ssb/lib64/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openjpeg-2.3.1-zi6uhnw/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/lib64/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe/lib64/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos/lib64/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z/lib/pkgconfig:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca/lib/pkgconfig:2;/apps/oneapi/mpi/2021.5.1/lib/pkgconfig:1', 'ATARDIR': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f', 'py_xlwt_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlwt-1.3.0-5nxruci', 'COM_CHEM_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/chem', 'DO_JEDIOCNVAR': False, 'COM_ATMOS_OZNMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/oznmon', 'MPI_CXX': 'mpiicpc', 'SLURMD_NODENAME': 'hfe01', 'LMOD_ANCIENT_TIME': 1, 'UTILROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl', '_ModuleTable024_': 'ID0gIjAwMDAwMDAwNC4wMDAwMDAwMDMuKnpmaW5hbCIsCn0sCmphc3BlciA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL2phc3Blci8yLjAuMzIubHVhIiwKZnVsbE5hbWUgPSAiamFzcGVyLzIuMC4zMiIsCmxvYWRPcmRlciA9IDE2LApwcm9wVCA9IHt9LApzdGFja0RlcHRoID0gMSwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gImphc3Blci8yLjAuMzIiLAp3ViA9ICIwMDAwMDAwMDIuMDAwMDAwMDAwLjAwMDAwMDAzMi4qemZpbmFsIiwKfSwKbGlianBlZyA9IHsKZm4gPSAi', 'nco_ver': '5.0.6', '_ModuleTable015_': 'b2FkT3JkZXIgPSAxMywKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJnZW1wYWsvNy4xNy4wIiwKd1YgPSAiMDAwMDAwMDA3LjAwMDAwMDAxNy4qemZpbmFsIiwKfSwKZ2V0dGV4dCA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL2dldHRleHQvMC4xOS44LjEubHVhIiwKZnVsbE5hbWUgPSAiZ2V0dGV4dC8wLjE5LjguMSIsCmxvYWRPcmRlciA9IDYsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDEsCnN0YWNrRGVwdGggPSAyLApz', 'COM_ATMOS_INPUT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/input', 'SLURM_JOB_END_TIME': 1717821108, 'pkg_config_ROOT': '/usr', 'CDUMP': 'gfs', 'OS_LIB': '/apps/gempak/7.17.0/os/linux64/lib', 'TOCGRIB2SUPER': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h/bin/tocgrib2super', 'FI_PROVIDER_PATH': '/apps/oneapi/mpi/2021.5.1//libfabric/lib/prov:/usr/lib64/libfabric', '_ModuleTable004_': 'Y2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL2J1ZnIvMTEuNy4wLmx1YSIsCmZ1bGxOYW1lID0gImJ1ZnIvMTEuNy4wIiwKbG9hZE9yZGVyID0gNTMsCnByb3BUID0ge30sCnN0YWNrRGVwdGggPSAxLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAiYnVmci8xMS43LjAiLAp3ViA9ICIwMDAwMDAwMTEuMDAwMDAwMDA3Lip6ZmluYWwiLAp9LApbImMtYmxvc2MiXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVs', 'FIXorog': '/scratch1/NCEPDEV/global/CI/2663/gfs/fix/orog', 'FIXcfs': '/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1/fix', 'libjpeg_turbo_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos', 'GEMPAKHOME': '/apps/gempak/7.17.0/gempak', 'FNTSFA': ' ', 'SLURM_TASKS_PER_NODE': 1, 'bacio_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bacio-2.4.1-wqq7qmr', 'NCARG_ROOT': '/apps/ncl/6.6.2-gcc-13.2.0', '_ModuleTable029_': 'ICJtZXQvOS4xLjMiLApsb2FkT3JkZXIgPSA3NywKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJtZXQvOS4xLjMiLAp3ViA9ICIwMDAwMDAwMDkuMDAwMDAwMDAxLjAwMDAwMDAwMy4qemZpbmFsIiwKfSwKbWV0cGx1cyA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLW9uZWFwaS1tcGkvMjAyMS41LjEvaW50ZWwvMjAyMS41LjAvbWV0cGx1cy8zLjEuMS5sdWEiLApmdWxsTmFtZSA9ICJtZXRwbHVzLzMuMS4xIiwKbG9hZE9yZGVyID0gNzgsCnBy', 'pgmout': 'OUTPUT.1192745', '__LMOD_REF_COUNT_PYTHONPATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xarray-2023.7.0-joweppx/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-packaging-23.1-5gvg7qo/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-python-dateutil-2.8.2-3qglnj4/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-six-1.16.0-t54borv/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pandas-1.5.3-tguwpv3/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlwt-1.3.0-5nxruci/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlsxwriter-3.1.7-7l25bnt/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlrd-2.0.1-fwxe33t/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyxlsb-1.0.10-ghdqaaw/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pytz-2023.3-kkz2z3d/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-openpyxl-3.1.2-4tswswo/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-et-xmlfile-1.0.1-it6uamo/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numexpr-2.8.4-uqnmadj/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-bottleneck-1.3.7-4itjkyr/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-jinja2-3.1.2-3yb4fme/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-markupsafe-2.1.3-clrlf2z/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyyaml-6.0-4sduut5/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-netcdf4-1.5.8-haeompg/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-cftime-1.0.3.4-4s5bg7j/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-f90nml-1.4.3-b4vzhct/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib64/python3.11/site-packages:3;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numpy-1.23.4-yrvnxwk/lib/python3.11/site-packages:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-setuptools-63.4.3-qppw6fo/lib/python3.11/site-packages:1', 'FHOUT_WAV': 3, 'FHOUT': 3, 'S_COLORS': 'auto', 'cdo_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4', 'DO_AWIPS': False, 'PRTE_MCA_plm_slurm_args': '--external-launcher', 'PARTITION_SERVICE': 'service', 'RUNDIR': '/scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f', 'which_declare': '', 'QUILTING': True, 'GLOBUS_TCP_PORT_RANGE': '40000,46999', 'HDF5_PLUGIN_PATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/plugins', 'launcher': 'srun -l --export=ALL', 'FHOUT_GFS': 3, 'FIXlut': '/scratch1/NCEPDEV/global/CI/2663/gfs/fix/lut', 'CLASSPATH': '/apps/oneapi/mpi/2021.5.1/lib/mpi.jar', 'udunits_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/udunits-2.2.28-k3qzmyx', 'NMEM_ENS': 0, 'CC': '/apps/oneapi/compiler/2022.0.2/linux/bin/intel64/icc', 'COM_ATMOS_GRIB_0p50': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/0p50', 'MPIF90': 'mpiifort', 'COM_ICE_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/ice', 'PROJ_LIB': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/share/proj', '_ModuleTable044_': 'ID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvcHktZXQteG1sZmlsZS8xLjAuMS5sdWEiLApmdWxsTmFtZSA9ICJweS1ldC14bWxmaWxlLzEuMC4xIiwKbG9hZE9yZGVyID0gNjUsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDEsCnN0YWNrRGVwdGggPSAzLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAicHktZXQteG1sZmlsZS8xLjAuMSIsCndWID0gIjAwMDAwMDAwMS4wMDAwMDAwMDAuMDAwMDAwMDAxLip6ZmluYWwiLAp9LApbInB5LWY5MG5tbCJdID0gewpmbiA9ICIvc2Ny', 'lwrite4danl': True, 'FIXugwd': '/scratch1/NCEPDEV/global/CI/2663/gfs/fix/ugwd', 'FIXcpl': '/scratch1/NCEPDEV/global/CI/2663/gfs/fix/cpl', 'MPI_F90': 'mpiifort', 'GRIB2GRIB': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h/bin/grib2grib2', 'SDATE': datetime.datetime(2021, 3, 23, 12, 0), '_ModuleTable054_': 'dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAicHktcHl0aG9uLWRhdGV1dGlsLzIuOC4yIiwKd1YgPSAiMDAwMDAwMDAyLjAwMDAwMDAwOC4wMDAwMDAwMDIuKnpmaW5hbCIsCn0sClsicHktcHl0eiJdID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvcHktcHl0ei8yMDIzLjMubHVhIiwKZnVsbE5hbWUgPSAicHktcHl0ei8yMDIzLjMiLApsb2FkT3JkZXIgPSA2NywKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFt', 'py_xlsxwriter_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlsxwriter-3.1.7-7l25bnt', 'SLURM_NNODES': 1, 'USER': 'Terry.McGuinness', 'SERIAL_F77': '/apps/oneapi/compiler/2022.0.2/linux/bin/intel64/ifort', 'FPGA_VARS_DIR': '/apps/oneapi/compiler/2022.0.2/linux/lib/oclfpga', 'OS_BIN': '/apps/gempak/7.17.0/os/linux64/bin', 'CMPLR_ROOT': '/apps/oneapi/compiler/2022.0.2', 'SERIAL_CC': '/apps/oneapi/compiler/2022.0.2/linux/bin/intel64/icc', '_ModuleTable069_': 'ZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC91dGlsLWxpbnV4LXV1aWQvMi4zOC4xLmx1YSIsCmZ1bGxOYW1lID0gInV0aWwtbGludXgtdXVpZC8yLjM4LjEiLApsb2FkT3JkZXIgPSAxMCwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMiwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJ1dGlsLWxpbnV4LXV1aWQvMi4zOC4xIiwKd1YgPSAiMDAwMDAwMDAyLjAwMDAwMDAzOC4wMDAwMDAwMDEuKnpmaW5hbCIsCn0sCnczZW1jID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4', 'DMPDIR': '/scratch1/NCEPDEV/global/glopara/dump', 'ARCH_FCSTICFREQ': 1, 'FIXmom': '/scratch1/NCEPDEV/global/CI/2663/gfs/fix/mom6', 'ip_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg', 'py_python_dateutil_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-python-dateutil-2.8.2-3qglnj4', 'crtm_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-2.4.0.1-zg3ykcb', 'BACIO_INC4': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bacio-2.4.1-wqq7qmr/include_4', '_ModuleTable041_': 'cm9kX3V0aWwvMi4xLjEiLAp3ViA9ICIwMDAwMDAwMDIuMDAwMDAwMDAxLjAwMDAwMDAwMS4qemZpbmFsIiwKfSwKcHJvaiA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL3Byb2ovOC4xLjAubHVhIiwKZnVsbE5hbWUgPSAicHJvai84LjEuMCIsCmxvYWRPcmRlciA9IDIzLApwcm9wVCA9IHt9LApyZWZfY291bnQgPSAxLApzdGFja0RlcHRoID0gMiwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gInByb2ovOC4xLjAiLAp3ViA9ICIwMDAwMDAwMDguMDAwMDAwMDAxLip6ZmluYWwi', 'NMEM_ENS_GFS': 30, 'SRUN_CPUS_PER_TASK': 1, 'MPI_CC': 'mpiicc', '_ModuleTable023_': 'cHRoID0gMiwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gImludGVsLzIwMjIuMS4yIiwKd1YgPSAiMDAwMDAyMDIyLjAwMDAwMDAwMS4wMDAwMDAwMDIuKnpmaW5hbCIsCn0sCmlwID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvaXAvNC4zLjAubHVhIiwKZnVsbE5hbWUgPSAiaXAvNC4zLjAiLApsb2FkT3JkZXIgPSA0MywKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJpcC80LjMuMCIsCndW', 'LMOD_REDIRECT': True, '_ModuleTable072_': 'dW50ID0gOSwKc3RhY2tEZXB0aCA9IDMsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJ6bGliLzEuMi4xMyIsCndWID0gIjAwMDAwMDAwMS4wMDAwMDAwMDIuMDAwMDAwMDEzLip6ZmluYWwiLAp9LAp6c3RkID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvenN0ZC8xLjUuMi5sdWEiLApmdWxsTmFtZSA9ICJ6c3RkLzEuNS4yIiwKbG9hZE9yZGVyID0gMzEsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDIsCnN0YWNrRGVwdGggPSAzLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5h', 'YAML_INC': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h/include', 'BACIO_INC8': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bacio-2.4.1-wqq7qmr/include_8', '_ModuleTable012_': 'ZSIsCnVzZXJOYW1lID0gImZpdDJvYnMvMS4xLjEiLAp3ViA9ICIwMDAwMDAwMDEuMDAwMDAwMDAxLjAwMDAwMDAwMS4qemZpbmFsIiwKfSwKZzIgPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC9nMi8zLjQuNS5sdWEiLApmdWxsTmFtZSA9ICJnMi8zLjQuNSIsCmxvYWRPcmRlciA9IDQxLApwcm9wVCA9IHt9LApyZWZfY291bnQgPSAxLApzdGFja0RlcHRoID0gMiwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gImcyLzMuNC41IiwKd1YgPSAiMDAwMDAwMDAzLjAwMDAwMDAwNC4w', 'SLURM_GET_USER_ENV': 1, '_ModuleTable031_': 'Ii9hcHBzL21vZHVsZXMvbW9kdWxlZmlsZXMvbmNsLzYuNi4yIiwKZnVsbE5hbWUgPSAibmNsLzYuNi4yIiwKbG9hZE9yZGVyID0gMTQsCnByb3BUID0ge30sCnN0YWNrRGVwdGggPSAxLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAibmNsLzYuNi4yIiwKd1YgPSAiMDAwMDAwMDA2LjAwMDAwMDAwNi4wMDAwMDAwMDIuKnpmaW5hbCIsCn0sCm5jbyA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLW9uZWFwaS1tcGkvMjAyMS41LjEvaW50ZWwvMjAyMS41LjAvbmNvLzUuMC42Lmx1YSIsCmZ1bGxO', 'FHMAX': 9, 'NAWIPS': '/apps/gempak/7.17.0', 'AERO_FCST_CDUMP': '', 'COM_WAVE_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/history', '_ModuleTable062_': 'ID0gInB5LXhsd3QvMS4zLjAiLApsb2FkT3JkZXIgPSA3MSwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJweS14bHd0LzEuMy4wIiwKd1YgPSAiMDAwMDAwMDAxLjAwMDAwMDAwMy4qemZpbmFsIiwKfSwKcHl0aG9uID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvcHl0aG9uLzMuMTEuNi5sdWEiLApmdWxsTmFtZSA9ICJweXRob24vMy4xMS42IiwKbG9hZE9yZGVyID0gMTEsCnByb3BUID0ge30sCnN0', 'COM_ATMOS_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/restart', 'DEGRIB2': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h/bin/degrib2', 'WGRIB': 'wgrib', 'eccodes_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/eccodes-2.32.0-vc72ssb', 'MPICXX': 'mpiicpc', 'SLURM_JOB_START_TIME': 1717799508, 'py_bottleneck_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-bottleneck-1.3.7-4itjkyr', 'MPI_F77': 'mpiifort', 'OS_ROOT': '/apps/gempak/7.17.0/os/linux64', 'SLURM_NTASKS_PER_NODE': 1, '__LMOD_REF_COUNT_MODULEPATH': '/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1/modulefiles:1;/scratch1/NCEPDEV/global/glopara/git/prepobs/dev-gfsv17/modulefiles:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0:1;/apps/modules/modulefamilies/intel_impi:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0:1;/apps/modules/modulefamilies/intel:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/Core:1;/scratch1/NCEPDEV/global/CI/2663/gfs/modulefiles:1;/etc/scl/modulefiles:1;/apps/lmod/lmod/modulefiles/Core:1;/apps/modules/modulefiles/Linux:1;/apps/modules/modulefiles:1', 'GRB2INDEX': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h/bin/grb2index', 'COM_OBS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/obs', 'FIXprepobs': '/scratch1/NCEPDEV/global/glopara/git/prepobs/dev-gfsv17/fix', 'SERIAL_CXX': '/apps/oneapi/compiler/2022.0.2/linux/bin/intel64/icpc', '_ModuleTable057_': 'cmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL3B5LXNldHVwdG9vbHMvNjMuNC4zLmx1YSIsCmZ1bGxOYW1lID0gInB5LXNldHVwdG9vbHMvNjMuNC4zIiwKbG9hZE9yZGVyID0gNTEsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDIsCnN0YWNrRGVwdGggPSAzLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAicHktc2V0dXB0b29scy82My40LjMiLAp3ViA9ICIwMDAwMDAwNjMuMDAwMDAwMDA0LjAwMDAwMDAwMy4qemZpbmFsIiwKfSwKWyJweS1zaXgiXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYv', 'R_ver': '3.6.1', 'COM_ATMOS_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/atmos', 'DO_CALC_INCREMENT_ENKF_GFS': False, 'COM_WAVE_WMO_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/wmo', 'DATA': '/scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f/arch.1192394', 'USHfit2obs': '/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1/ush', 'G2TMPL_INC': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2tmpl-1.10.2-76rbhf6/include', 'IAUFHRS': 6, 'COM_ATMOS_MASTER_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/master', 'COM_ATMOS_GENESIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/cyclone/genesis_vital', 'OPS_RES': 'C768', 'COM_ATMOS_GEMPAK': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/gempak/', 'PWD': '/scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f/arch.1192394', 'MDATE': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl/bin/mdate', 'GEMMAPS': '/apps/gempak/7.17.0/gempak/maps', 'WGRIB2_LIB': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx/lib/libwgrib2.a', 'IP_INC4': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg/include_4', 'XUSERFILESEARCHPATH': '/apps/gempak/7.17.0/resource/%N', 'WRITE_NSFLIP': True, '_ModuleTable046_': 'cGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvcHktamluamEyLzMuMS4yLmx1YSIsCmZ1bGxOYW1lID0gInB5LWppbmphMi8zLjEuMiIsCmxvYWRPcmRlciA9IDYyLApwcm9wVCA9IHt9LApzdGFja0RlcHRoID0gMSwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gInB5LWppbmphMi8zLjEuMiIsCndWID0gIjAwMDAwMDAwMy4wMDAwMDAwMDEuMDAwMDAwMDAyLip6ZmluYWwiLAp9LApbInB5LW1hcmt1cHNhZmUiXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJv', 'IP_INC8': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg/include_8', 'jobid': 'arch.1192394', 'SLURM_JOB_NODELIST': 'hfe01', 'COPYGB': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h/bin/copygb', 'FHMIN_WAV': 0, 'HOME': '/home/Terry.McGuinness', 'GEMEXE': '/apps/gempak/7.17.0/os/linux64/bin', 'SLURM_CLUSTER_NAME': 'hera', '_ModuleTable052_': 'LApsb2FkT3JkZXIgPSA3OSwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJweS1wYWNrYWdpbmcvMjMuMSIsCndWID0gIjAwMDAwMDAyMy4wMDAwMDAwMDEuKnpmaW5hbCIsCn0sClsicHktcGFuZGFzIl0gPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC9weS1wYW5kYXMvMS41LjMubHVhIiwKZnVsbE5hbWUgPSAicHktcGFuZGFzLzEuNS4zIiwKbG9hZE9yZGVyID0gNzIsCnByb3BUID0ge30sCnN0YWNr', 'HOMEDIR': '/scratch1/NCEPDEV/global/Terry.McGuinness', '_ModuleTable071_': 'LApmdWxsTmFtZSA9ICJ3Z3JpYjIvMi4wLjgiLApsb2FkT3JkZXIgPSA1NSwKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJ3Z3JpYjIvMi4wLjgiLAp3ViA9ICIwMDAwMDAwMDIuMDAwMDAwMDAwLjAwMDAwMDAwOC4qemZpbmFsIiwKfSwKemxpYiA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL3psaWIvMS4yLjEzLmx1YSIsCmZ1bGxOYW1lID0gInpsaWIvMS4yLjEzIiwKbG9hZE9yZGVyID0gOCwKcHJvcFQgPSB7fSwKcmVmX2Nv', 'REDOUT': '1>', 'LMOD_FAMILY_METACOMPILER_VERSION': '2021.5.0', 'COM_ICE_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/history', 'g2tmpl_ver': '1.10.2', '_ModuleTable034_': 'cm9wVCA9IHt9LApyZWZfY291bnQgPSAxLApzdGFja0RlcHRoID0gMiwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gIm5ldGNkZi1jeHg0LzQuMy4xIiwKd1YgPSAiMDAwMDAwMDA0LjAwMDAwMDAwMy4wMDAwMDAwMDEuKnpmaW5hbCIsCn0sClsibmV0Y2RmLWZvcnRyYW4iXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLW9uZWFwaS1tcGkvMjAyMS41LjEvaW50ZWwvMjAyMS41LjAvbmV0Y2RmLWZvcnRyYW4vNC42LjEubHVhIiwKZnVsbE5hbWUgPSAibmV0Y2RmLWZvcnRyYW4vNC42LjEiLAps', 'DO_NPOESS': False, 'prod_util_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl', 'RUN_ENVIR': 'emc', 'CMAKE_PREFIX_PATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xarray-2023.7.0-joweppx:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-packaging-23.1-5gvg7qo:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/metplus-3.1.1-w6whvyc:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/met-9.1.3-yw4trjl:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2c-1.6.4-slwvld5:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-python-dateutil-2.8.2-3qglnj4:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-six-1.16.0-t54borv:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pandas-1.5.3-tguwpv3:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlwt-1.3.0-5nxruci:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlsxwriter-3.1.7-7l25bnt:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlrd-2.0.1-fwxe33t:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyxlsb-1.0.10-ghdqaaw:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pytz-2023.3-kkz2z3d:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-openpyxl-3.1.2-4tswswo:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-et-xmlfile-1.0.1-it6uamo:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numexpr-2.8.4-uqnmadj:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-bottleneck-1.3.7-4itjkyr:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-jinja2-3.1.2-3yb4fme:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-markupsafe-2.1.3-clrlf2z:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyyaml-6.0-4sduut5:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-netcdf4-1.5.8-haeompg:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-cftime-1.0.3.4-4s5bg7j:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-f90nml-1.4.3-b4vzhct:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numpy-1.23.4-yrvnxwk:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-setuptools-63.4.3-qppw6fo:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-2.4.0.1-zg3ykcb:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-fix-2.4.0.1_emc-bm46d3q:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsi-ncdiag-1.1.2-vwq6ky5:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2tmpl-1.10.2-76rbhf6:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sp-2.5.0-2pqzhuv:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2-3.4.5-77xve5x:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bacio-2.4.1-wqq7qmr:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nco-5.0.6-s5fkg5v:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/antlr-2.7.7-3kdtvjg:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/c-blosc-1.21.5-2lodawi:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/snappy-1.1.10-m42xdge:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp:/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-5.38.0-yl7cdgbmiic3h7gbvdthgequuixjvuja:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/udunits-2.2.28-k3qzmyx:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/eccodes-2.32.0-vc72ssb:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openjpeg-2.3.1-zi6uhnw:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos:/apps/ncl/6.6.2-gcc-13.2.0:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca:/apps/oneapi/compiler/2022.0.2/linux/IntelDPCPP', 'COM_CONF': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//conf', '_ModuleTable067_': 'ay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvc3RhY2staW50ZWwtb25lYXBpLW1waS8yMDIxLjUuMS5sdWEiLApmdWxsTmFtZSA9ICJzdGFjay1pbnRlbC1vbmVhcGktbXBpLzIwMjEuNS4xIiwKbG9hZE9yZGVyID0gNSwKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJzdGFjay1pbnRlbC1vbmVhcGktbXBpLzIwMjEuNS4xIiwKd1YgPSAiMDAwMDAyMDIxLjAwMDAwMDAwNS4wMDAwMDAwMDEuKnpmaW5hbCIsCn0sCnVkdW5pdHMgPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNr', 'LMOD_COLORIZE': True, 'COM_ATMOS_GRIB_1p00': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/1p00', 'PARTITION_BATCH': 'hera', 'SLURM_NODELIST': 'hfe01', 'npe_node_max': 40, 'COM_OCEAN_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/restart', 'IAU_FHROT': 0, 'COM_OBSDMP_TMPL': '${DMPDIR}/${DUMP}${DUMP_SUFFIX}.${YMD}/${HH}/atmos', 'HOMEpost': '/scratch1/NCEPDEV/global/CI/2663/gfs', 'DO_VMINMON': True, 'obsproc_run_ver': '1.1.2', 'WGRIB2_INC': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx/include', 'grib_util_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h', 'LMOD_VERSION': '8.7.32', 'GEMTBL': '/apps/gempak/7.17.0/gempak/tables', 'zstd_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt', 'metplus_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/metplus-3.1.1-w6whvyc', 'SINFO_FORMAT': '%10P %5a %.10l %.6D %8z %.6t %N', 'spack_stack_ver': '1.6.0', 'COM_MED_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/med/restart', 'CPATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2c-1.6.4-slwvld5/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-2.4.0.1-zg3ykcb/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsi-ncdiag-1.1.2-vwq6ky5/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2tmpl-1.10.2-76rbhf6/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/antlr-2.7.7-3kdtvjg/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/c-blosc-1.21.5-2lodawi/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/snappy-1.1.10-m42xdge/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/udunits-2.2.28-k3qzmyx/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/eccodes-2.32.0-vc72ssb/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openjpeg-2.3.1-zi6uhnw/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z/include:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca/include:/apps/oneapi/mpi/2021.5.1/include:/apps/gnu/gcc-9.2.0/include:/apps/oneapi/mkl/2022.0.2/include', 'CDATE': datetime.datetime(2021, 3, 23, 12, 0), 'SLURM_NTASKS': 1, 'INTELFPGAOCLSDKROOT': '/apps/oneapi/compiler/2022.0.2/linux/lib/oclfpga', 'libxcrypt_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca', 'COM_ATMOS_GEMPAK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/gempak/${GRID}', 'ARCH_GAUSSIAN_FHMAX': 120, 'sqlite_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn', 'ARCDIR': '/scratch1/NCEPDEV/global/Terry.McGuinness/archive/C48_S2SW_ccd87d4f', 'pid': 1192745, 'LMOD_SETTARG_CMD': ':', 'restart_interval_enkfgdas': 3, 'NTHSTACK': 1024000000, 'DO_VERFOZN': True, 'py_netcdf4_ver': '1.5.8', 'F77': '/apps/oneapi/compiler/2022.0.2/linux/bin/intel64/ifort', 'lobsdiag_forenkf': True, 'COM_TOP': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12', 'grib_util_ver': '1.3.0', 'nco_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nco-5.0.6-s5fkg5v', 'SLURM_JOB_CPUS_PER_NODE': 1, 'BASH_ENV': '/apps/lmod/lmod/init/bash', 'jasper_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe', 'LOGSCRIPT': '', 'COM_TOP_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}', 'COM_WAVE_STATION': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/wave/station', 'COM_OCEAN_NETCDF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/netcdf', 'assim_freq': 6, 'COPYGB2': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h/bin/copygb2', 'IP_INCd': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg/include_d', 'FHMAX_FITS': 120, 'NCP': '/bin/cp -p', 'DO_JEDISNOWDA': False, 'COMINsyn': '/scratch1/NCEPDEV/global/glopara/com/gfs/prod/syndat', 'npe_node_arch': 1, 'NCDUMP': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/bin/ncdump', 'G2_INCd': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2-3.4.5-77xve5x/include_d', 'FIXam': '/scratch1/NCEPDEV/global/CI/2663/gfs/fix/am', 'SLURM_TOPOLOGY_ADDR': 'all.hroot0.ibsw49.hfe01', 'ROTDIR': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f', 'PDY': datetime.datetime(2021, 3, 23, 0, 0), 'npe_arch': 1, 'bufr_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj', 'DOBNDPNT_WAVE': False, 'G2C_LIB': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2c-1.6.4-slwvld5/lib64/libg2c.a', 'LMOD_SHORT_TIME': 86400, 'DOIAU_ENKF': True, 'snappy_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/snappy-1.1.10-m42xdge', 'NLSPATH': '/apps/oneapi/mkl/2022.0.2/lib/intel64/locale/%l_%t/%N:/apps/oneapi/compiler/2022.0.2/linux/compiler/lib/intel64_lin/locale/%l_%t/%N', 'curl_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w', 'ACCOUNT': 'nems', 'HOMEobsproc': '/scratch1/NCEPDEV/global/glopara/git/obsproc/v1.1.2', 'DO_BUFRSND': False, '__LMOD_REF_COUNT_LIBRARY_PATH': '/apps/oneapi/mpi/2021.5.1/libfabric/lib:1;/apps/oneapi/mpi/2021.5.1/lib/release:1;/apps/oneapi/mpi/2021.5.1/lib:1;/apps/oneapi/mkl/2022.0.2/lib/intel64:1;/apps/oneapi/compiler/2022.0.2/linux/compiler/lib/intel64_lin:1;/apps/oneapi/compiler/2022.0.2/linux/lib:1', 'FHOUT_OCNICE': 3, 'COMINukmet': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/ukmet', 'HOMEfit2obs': '/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1', 'py_xarray_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xarray-2023.7.0-joweppx', 'bufr_ver': '11.7.0', 'COM_CHEM_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/chem', 'PNG_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi', 'SLURM_JOB_NAME': 'C48_S2SW_ccd87d4f_gfsarch_12', 'DO_JEDIATMVAR': False, 'DOIBP_WAV': False, 'BASE_CPLIC': '/scratch1/NCEPDEV/global/glopara/data/ICSDIR/prototype_ICs', 'gempak_ver': '7.17.0', 'fftw_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to', 'py_markupsafe_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-markupsafe-2.1.3-clrlf2z', 'DOHYBVAR': '@DOHYBVAR@', 'wgrib2_ver': '2.0.8', '_ModuleTable043_': 'ZnRpbWUiXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL3B5LWNmdGltZS8xLjAuMy40Lmx1YSIsCmZ1bGxOYW1lID0gInB5LWNmdGltZS8xLjAuMy40IiwKbG9hZE9yZGVyID0gNTcsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDEsCnN0YWNrRGVwdGggPSAyLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAicHktY2Z0aW1lLzEuMC4zLjQiLAp3ViA9ICIwMDAwMDAwMDEuMDAwMDAwMDAwLjAwMDAwMDAwMy4wMDAwMDAwMDQuKnpmaW5hbCIsCn0sClsicHktZXQteG1sZmlsZSJd', 'PDYp5': datetime.datetime(2021, 3, 28, 0, 0), 'TMPDIR': '/tmp', 'PDYp4': datetime.datetime(2021, 3, 27, 0, 0), 'LIBRARY_PATH': '/apps/oneapi/mpi/2021.5.1/libfabric/lib:/apps/oneapi/mpi/2021.5.1/lib/release:/apps/oneapi/mpi/2021.5.1/lib:/apps/oneapi/mkl/2022.0.2/lib/intel64:/apps/oneapi/compiler/2022.0.2/linux/compiler/lib/intel64_lin:/apps/oneapi/compiler/2022.0.2/linux/lib', 'mpmd_opt': '--multi-prog --output=mpmd.%j.%t.out', 'DO_ATM': True, 'PDYp7': datetime.datetime(2021, 3, 30, 0, 0), 'PDYp6': datetime.datetime(2021, 3, 29, 0, 0), '_ModuleTable021_': 'b2R1bGVmaWxlcy9pbnRlbC1vbmVhcGktbXBpLzIwMjEuNS4xL2ludGVsLzIwMjEuNS4wL2hkZjUvMS4xNC4wLmx1YSIsCmZ1bGxOYW1lID0gImhkZjUvMS4xNC4wIiwKbG9hZE9yZGVyID0gMjksCnByb3BUID0ge30sCnN0YWNrRGVwdGggPSAxLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAiaGRmNS8xLjE0LjAiLAp3ViA9ICIwMDAwMDAwMDEuMDAwMDAwMDE0Lip6ZmluYWwiLAp9LApocHNzID0gewpmbiA9ICIvYXBwcy9tb2R1bGVzL21vZHVsZWZpbGVzL2hwc3MvaHBzcyIsCmZ1bGxOYW1lID0gImhwc3MvaHBzcyIsCmxvYWRPcmRlciA9IDEyLApwcm9wVCA9IHt9LApzdGFja0RlcHRoID0gMSwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gImhwc3MvaHBz', 'py_six_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-six-1.16.0-t54borv', 'PDYp1': datetime.datetime(2021, 3, 24, 0, 0), 'py_pyxlsb_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyxlsb-1.0.10-ghdqaaw', 'DO_VERFRAD': True, 'PDYp3': datetime.datetime(2021, 3, 26, 0, 0), 'REALTIME': True, 'PDYp2': datetime.datetime(2021, 3, 25, 0, 0), '__LMOD_REF_COUNT_CMAKE_PREFIX_PATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xarray-2023.7.0-joweppx:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-packaging-23.1-5gvg7qo:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/metplus-3.1.1-w6whvyc:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/met-9.1.3-yw4trjl:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2c-1.6.4-slwvld5:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-python-dateutil-2.8.2-3qglnj4:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-six-1.16.0-t54borv:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pandas-1.5.3-tguwpv3:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlwt-1.3.0-5nxruci:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlsxwriter-3.1.7-7l25bnt:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlrd-2.0.1-fwxe33t:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyxlsb-1.0.10-ghdqaaw:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pytz-2023.3-kkz2z3d:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-openpyxl-3.1.2-4tswswo:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-et-xmlfile-1.0.1-it6uamo:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numexpr-2.8.4-uqnmadj:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-bottleneck-1.3.7-4itjkyr:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-jinja2-3.1.2-3yb4fme:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-markupsafe-2.1.3-clrlf2z:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyyaml-6.0-4sduut5:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-netcdf4-1.5.8-haeompg:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-cftime-1.0.3.4-4s5bg7j:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-f90nml-1.4.3-b4vzhct:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numpy-1.23.4-yrvnxwk:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-setuptools-63.4.3-qppw6fo:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-2.4.0.1-zg3ykcb:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-fix-2.4.0.1_emc-bm46d3q:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsi-ncdiag-1.1.2-vwq6ky5:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2tmpl-1.10.2-76rbhf6:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sp-2.5.0-2pqzhuv:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2-3.4.5-77xve5x:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bacio-2.4.1-wqq7qmr:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nco-5.0.6-s5fkg5v:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/antlr-2.7.7-3kdtvjg:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/c-blosc-1.21.5-2lodawi:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/snappy-1.1.10-m42xdge:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp:2;/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-5.38.0-yl7cdgbmiic3h7gbvdthgequuixjvuja:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/udunits-2.2.28-k3qzmyx:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/eccodes-2.32.0-vc72ssb:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openjpeg-2.3.1-zi6uhnw:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos:2;/apps/ncl/6.6.2-gcc-13.2.0:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca:2;/apps/oneapi/compiler/2022.0.2/linux/IntelDPCPP:1', '_ModuleTable074_': 'b2R1bGVzL21vZHVsZWZhbWlsaWVzL2ludGVsX2ltcGkiCiwgIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMCIKLCAiL2FwcHMvbW9kdWxlcy9tb2R1bGVmYW1pbGllcy9pbnRlbCIKLCAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL0NvcmUiCiwgIi9zY3JhdGNoMS9OQ0VQREVWL2dsb2JhbC9DSS8yNjYzL2dmcy9tb2R1bGVmaWxlcyIsICIvZXRjL3NjbC9t', 'py_jinja2_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-jinja2-3.1.2-3yb4fme', 'LMOD_sys': 'Linux', 'G2_INC4': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2-3.4.5-77xve5x/include_4', 'LMOD_FAMILY_METAMPI_VERSION': '2021.5.1', '_ModuleTable010_': 'ZmlsZXMvaW50ZWwvMjAyMS41LjAvZWNjb2Rlcy8yLjMyLjAubHVhIiwKZnVsbE5hbWUgPSAiZWNjb2Rlcy8yLjMyLjAiLApsb2FkT3JkZXIgPSAxOSwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJlY2NvZGVzLzIuMzIuMCIsCndWID0gIjAwMDAwMDAwMi4wMDAwMDAwMzIuKnpmaW5hbCIsCn0sCmZmdHcgPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC1vbmVhcGktbXBpLzIwMjEuNS4xL2ludGVsLzIwMjEuNS4wL2Zm', '_ModuleTable033_': 'ZGVyID0gMzMsCnByb3BUID0ge30sCnN0YWNrRGVwdGggPSAxLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAibmV0Y2RmLWMvNC45LjIiLAp3ViA9ICIwMDAwMDAwMDQuMDAwMDAwMDA5LjAwMDAwMDAwMi4qemZpbmFsIiwKfSwKWyJuZXRjZGYtY3h4NCJdID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwtb25lYXBpLW1waS8yMDIxLjUuMS9pbnRlbC8yMDIxLjUuMC9uZXRjZGYtY3h4NC80LjMuMS5sdWEiLApmdWxsTmFtZSA9ICJuZXRjZGYtY3h4NC80LjMuMSIsCmxvYWRPcmRlciA9IDc2LApw', 'SLURM_JOBID': 61437950, 'cdo_ver': '2.2.0', 'HPSS_PROJECT': 'emc-global', 'GRBINDEX': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h/bin/grbindex', 'IAU_DELTHRS': 6, '_ModuleTable060_': 'ZXMvaW50ZWwvMjAyMS41LjAvcHkteGxyZC8yLjAuMS5sdWEiLApmdWxsTmFtZSA9ICJweS14bHJkLzIuMC4xIiwKbG9hZE9yZGVyID0gNjksCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDEsCnN0YWNrRGVwdGggPSAyLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAicHkteGxyZC8yLjAuMSIsCndWID0gIjAwMDAwMDAwMi4wMDAwMDAwMDAuMDAwMDAwMDAxLip6ZmluYWwiLAp9LApbInB5LXhsc3h3cml0ZXIiXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL3B5LXhsc3h3cml0', '_ModuleTable001_': 'X01vZHVsZVRhYmxlXyA9IHsKTVR2ZXJzaW9uID0gMywKY19yZWJ1aWxkVGltZSA9IGZhbHNlLApjX3Nob3J0VGltZSA9IGZhbHNlLApkZXB0aFQgPSB7fSwKZmFtaWx5ID0gewpNZXRhQ29tcGlsZXIgPSAic3RhY2staW50ZWwiLApNZXRhTVBJID0gInN0YWNrLWludGVsLW9uZWFwaS1tcGkiLApjb21waWxlciA9ICJpbnRlbCIsCm1waSA9ICJpbXBpIiwKfSwKbVQgPSB7ClIgPSB7CmZuID0gIi9hcHBzL21vZHVsZXMvbW9kdWxlZmlsZXMvUi8zLjYuMSIsCmZ1bGxOYW1lID0gIlIvMy42LjEiLApsb2FkT3JkZXIgPSAyNiwKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJSLzMuNi4xIiwKd1YgPSAiMDAwMDAwMDAzLjAw', 'YAML_DIR': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h', 'py_xlrd_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlrd-2.0.1-fwxe33t', 'restart_interval_enkfgfs': 3, 'REDERR': '2>', 'SLURM_CONF': '/apps/slurm/23.11.6/etc/slurm.conf', 'EXECprepobs': '/scratch1/NCEPDEV/global/glopara/git/prepobs/dev-gfsv17/exec', 'py_numpy_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numpy-1.23.4-yrvnxwk', 'FHOUT_OCNICE_GFS': 6, 'hpss_ver': 'hpss', 'COM_OCEAN_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/ocean', 'LOADEDMODULES': 'contrib:intel/2022.1.2:stack-intel/2021.5.0:impi/2022.1.2:stack-intel-oneapi-mpi/2021.5.1:gettext/0.19.8.1:libxcrypt/4.4.35:zlib/1.2.13:sqlite/3.43.2:util-linux-uuid/2.38.1:python/3.11.6:hpss/hpss:gempak/7.17.0:ncl/6.6.2:libjpeg/2.1.0:jasper/2.0.32:libpng/1.6.37:openjpeg/2.3.1:eccodes/2.32.0:fftw/3.3.10:nghttp2/1.57.0:curl/8.4.0:proj/8.1.0:udunits/2.2.28:cdo/2.2.0:R/3.6.1:perl/5.38.0:pkg-config/0.27.1:hdf5/1.14.0:snappy/1.1.10:zstd/1.5.2:c-blosc/1.21.5:netcdf-c/4.9.2:netcdf-fortran/4.6.1:antlr/2.7.7:gsl/2.7.1:nco/5.0.6:bacio/2.4.1:w3emc/2.10.0:prod_util/2.1.1:g2/3.4.5:sp/2.5.0:ip/4.3.0:grib-util/1.3.0:g2tmpl/1.10.2:gsi-ncdiag/1.1.2:crtm-fix/2.4.0.1_emc:git-lfs/2.10.0:crtm/2.4.0.1:openblas/0.3.24:py-setuptools/63.4.3:py-numpy/1.23.4:bufr/11.7.0:gmake/3.82:wgrib2/2.0.8:py-f90nml/1.4.3:py-cftime/1.0.3.4:py-netcdf4/1.5.8:libyaml/0.2.5:py-pyyaml/6.0:py-markupsafe/2.1.3:py-jinja2/3.1.2:py-bottleneck/1.3.7:py-numexpr/2.8.4:py-et-xmlfile/1.0.1:py-openpyxl/3.1.2:py-pytz/2023.3:py-pyxlsb/1.0.10:py-xlrd/2.0.1:py-xlsxwriter/3.1.7:py-xlwt/1.3.0:py-pandas/1.5.3:py-six/1.16.0:py-python-dateutil/2.8.2:g2c/1.6.4:netcdf-cxx4/4.3.1:met/9.1.3:metplus/3.1.1:py-packaging/23.1:py-xarray/2023.7.0:prepobs/1.0.1:fit2obs/1.1.1:module_base.hera', '_ModuleTable048_': 'b2R1bGVmaWxlcy9pbnRlbC1vbmVhcGktbXBpLzIwMjEuNS4xL2ludGVsLzIwMjEuNS4wL3B5LW5ldGNkZjQvMS41LjgubHVhIiwKZnVsbE5hbWUgPSAicHktbmV0Y2RmNC8xLjUuOCIsCmxvYWRPcmRlciA9IDU4LApwcm9wVCA9IHt9LApzdGFja0RlcHRoID0gMSwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gInB5LW5ldGNkZjQvMS41LjgiLAp3ViA9ICIwMDAwMDAwMDEuMDAwMDAwMDA1LjAwMDAwMDAwOC4qemZpbmFsIiwKfSwKWyJweS1udW1leHByIl0gPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9p', 'py_pyyaml_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyyaml-6.0-4sduut5', 'FHCYC': 24, 'COM_ATMOS_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/history', 'I_MPI_CC': 'icc', 'ICERES': 500, 'COM_ICE_INPUT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/input', '_ModuleTable058_': 'bmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL3B5LXNpeC8xLjE2LjAubHVhIiwKZnVsbE5hbWUgPSAicHktc2l4LzEuMTYuMCIsCmxvYWRPcmRlciA9IDczLApwcm9wVCA9IHt9LApyZWZfY291bnQgPSAxLApzdGFja0RlcHRoID0gMiwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gInB5LXNpeC8xLjE2LjAiLAp3ViA9ICIwMDAwMDAwMDEuMDAwMDAwMDE2Lip6ZmluYWwiLAp9LApbInB5LXhhcnJheSJdID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYu', 'FC': '/apps/oneapi/compiler/2022.0.2/linux/bin/intel64/ifort', 'DO_METP': False, 'COM_ATMOS_GOES_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/goes_sim', 'DO_MOS': False, '__LMOD_REF_COUNT_ACLOCAL_PATH': '/usr/share/aclocal:8;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/share/aclocal:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/share/aclocal:2', 'gmake_ROOT': '/usr', 'SENDDBN': False, '__LMOD_REF_COUNT_MANPATH': '/usr/share/man:9;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nco-5.0.6-s5fkg5v/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt/share/man:2;/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-5.38.0-yl7cdgbmiic3h7gbvdthgequuixjvuja/man:1;/apps/R/3.6.1/man:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos/share/man:2;/apps/ncl/6.6.2-gcc-13.2.0/man:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z/share/man:2;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca/share/man:2;/apps/oneapi/mpi/2021.5.1/man:1;/apps/oneapi/compiler/2022.0.2/documentation/en/man/common:1;/apps/slurm/default/share/man:1;/apps/lmod/lmod/share/man:1;/apps/local/man:1;:24', '_ModuleTable006_': 'Mi4yLjAubHVhIiwKZnVsbE5hbWUgPSAiY2RvLzIuMi4wIiwKbG9hZE9yZGVyID0gMjUsCnByb3BUID0ge30sCnN0YWNrRGVwdGggPSAxLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAiY2RvLzIuMi4wIiwKd1YgPSAiMDAwMDAwMDAyLjAwMDAwMDAwMi4qemZpbmFsIiwKfSwKY29udHJpYiA9IHsKZm4gPSAiL2FwcHMvbW9kdWxlcy9tb2R1bGVmaWxlcy9jb250cmliIiwKZnVsbE5hbWUgPSAiY29udHJpYiIsCmxvYWRPcmRlciA9IDEsCnByb3BUID0ge30sCnN0YWNrRGVwdGggPSAwLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAiY29udHJpYiIsCndWID0gIk0uKnpmaW5hbCIsCn0sCmNydG0gPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9s', 'GEMERR': '/apps/gempak/7.17.0/gempak/error', 'HDF5_DIR': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp', '_ModuleTable003_': 'L3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL2JhY2lvLzIuNC4xLmx1YSIsCmZ1bGxOYW1lID0gImJhY2lvLzIuNC4xIiwKbG9hZE9yZGVyID0gMzgsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDIsCnN0YWNrRGVwdGggPSAzLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAiYmFjaW8vMi40LjEiLAp3ViA9ICIwMDAwMDAwMDIuMDAwMDAwMDA0LjAwMDAwMDAwMS4qemZpbmFsIiwKfSwKYnVmciA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3Bh', 'MPICC': 'mpiicc', 'machine': 'HERA', 'SLURM_JOB_QOS': 'Added as default', 'LMOD_ROOT': '/apps/lmod', 'SLURM_TOPOLOGY_ADDR_PATTERN': 'switch.switch.switch.node', 'G2_LIB4': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2-3.4.5-77xve5x/lib64/libg2_4.a', 'g2c_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2c-1.6.4-slwvld5', 'DO_GOES': False, 'crtm_fix_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-fix-2.4.0.1_emc-bm46d3q', '_ModuleTable040_': 'c3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJwcmVwb2JzLzEuMC4xIiwKd1YgPSAiMDAwMDAwMDAxLjAwMDAwMDAwMC4wMDAwMDAwMDEuKnpmaW5hbCIsCn0sCnByb2RfdXRpbCA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL3Byb2RfdXRpbC8yLjEuMS5sdWEiLApmdWxsTmFtZSA9ICJwcm9kX3V0aWwvMi4xLjEiLApsb2FkT3JkZXIgPSA0MCwKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJw', 'COM_ATMOS_MINMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/minmon', '_ModuleTable022_': 'cyIsCndWID0gIl5ocHNzLip6ZmluYWwiLAp9LAppbXBpID0gewpmbiA9ICIvYXBwcy9tb2R1bGVzL21vZHVsZWZhbWlsaWVzL2ludGVsL2ltcGkvMjAyMi4xLjIiLApmdWxsTmFtZSA9ICJpbXBpLzIwMjIuMS4yIiwKbG9hZE9yZGVyID0gNCwKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJpbXBpLzIwMjIuMS4yIiwKd1YgPSAiMDAwMDAyMDIyLjAwMDAwMDAwMS4wMDAwMDAwMDIuKnpmaW5hbCIsCn0sCmludGVsID0gewpmbiA9ICIvYXBwcy9tb2R1bGVzL21vZHVsZWZpbGVzL2ludGVsLzIwMjIuMS4yIiwKZnVsbE5hbWUgPSAiaW50ZWwvMjAyMi4xLjIiLApsb2FkT3JkZXIgPSAyLApwcm9wVCA9IHt9LApzdGFja0Rl', 'git_lfs_ROOT': '/usr', 'py_pyyaml_ver': 6.0, '_ModuleTable050_': 'MjMuNC5sdWEiLApmdWxsTmFtZSA9ICJweS1udW1weS8xLjIzLjQiLApsb2FkT3JkZXIgPSA1MiwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gOCwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJweS1udW1weS8xLjIzLjQiLAp3ViA9ICIwMDAwMDAwMDEuMDAwMDAwMDIzLjAwMDAwMDAwNC4qemZpbmFsIiwKfSwKWyJweS1vcGVucHl4bCJdID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvcHktb3BlbnB5eGwvMy4xLjIubHVhIiwKZnVsbE5hbWUgPSAi', '_JAVA_OPTIONS': '-Xmx6G', '_ModuleTable073_': 'bWUgPSAienN0ZC8xLjUuMiIsCndWID0gIjAwMDAwMDAwMS4wMDAwMDAwMDUuMDAwMDAwMDAyLip6ZmluYWwiLAp9LAp9LAptcGF0aEEgPSB7CiIvc2NyYXRjaDEvTkNFUERFVi9nbG9iYWwvZ2xvcGFyYS9naXQvRml0Mk9icy92MS4xLjEvbW9kdWxlZmlsZXMiCiwgIi9zY3JhdGNoMS9OQ0VQREVWL2dsb2JhbC9nbG9wYXJhL2dpdC9wcmVwb2JzL2Rldi1nZnN2MTcvbW9kdWxlZmlsZXMiCiwgIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC1vbmVhcGktbXBpLzIwMjEuNS4xL2ludGVsLzIwMjEuNS4wIgosICIvYXBwcy9t', 'openblas_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4', '_ModuleTable013_': 'MDAwMDAwMDUuKnpmaW5hbCIsCn0sCmcyYyA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL2cyYy8xLjYuNC5sdWEiLApmdWxsTmFtZSA9ICJnMmMvMS42LjQiLApsb2FkT3JkZXIgPSA3NSwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJnMmMvMS42LjQiLAp3ViA9ICIwMDAwMDAwMDEuMDAwMDAwMDA2LjAwMDAwMDAwNC4qemZpbmFsIiwKfSwKZzJ0bXBsID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERF', '_ModuleTable036_': 'Y2tEZXB0aCA9IDQsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJuZ2h0dHAyLzEuNTcuMCIsCndWID0gIjAwMDAwMDAwMS4wMDAwMDAwNTcuKnpmaW5hbCIsCn0sCm9wZW5ibGFzID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvb3BlbmJsYXMvMC4zLjI0Lmx1YSIsCmZ1bGxOYW1lID0gIm9wZW5ibGFzLzAuMy4yNCIsCmxvYWRPcmRlciA9IDUwLApwcm9wVCA9IHt9LApyZWZfY291bnQgPSAxLApzdGFja0RlcHRoID0gMywKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0g', 'ILPOST': 3, 'MAIL': '/var/spool/mail/Terry.McGuinness', 'g2tmpl_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2tmpl-1.10.2-76rbhf6', 'GEMHLP': '/apps/gempak/7.17.0/gempak/help', 'netcdf_cxx4_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef', 'COM_OCEAN_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/ocean', 'GEMGTXT': '/apps/gempak/7.17.0/gempak/txt/gemlib', 'HPSSARCH': True, 'SLURM_CPUS_ON_NODE': 1, 'CXX': '/apps/oneapi/compiler/2022.0.2/linux/bin/intel64/icpc', '_ModuleTable027_': 'ZWZpbGVzL2ludGVsLzIwMjEuNS4wL2xpYnhjcnlwdC80LjQuMzUubHVhIiwKZnVsbE5hbWUgPSAibGlieGNyeXB0LzQuNC4zNSIsCmxvYWRPcmRlciA9IDcsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDEsCnN0YWNrRGVwdGggPSAyLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAibGlieGNyeXB0LzQuNC4zNSIsCndWID0gIjAwMDAwMDAwNC4wMDAwMDAwMDQuMDAwMDAwMDM1Lip6ZmluYWwiLAp9LApsaWJ5YW1sID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvbGlieWFtbC8w', 'LMOD_arch': 'x86_64', 'COM_ATMOS_BUFR': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/bufr', 'DO_GENESIS': True, 'COM_ATMOS_IMAGERY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/imagery', 'SLURM_JOB_NUM_NODES': 1, 'stack_impi_ver': '2021.5.1', 'LMOD_FAMILY_METACOMPILER': 'stack-intel', 'FHMIN_GFS': 0, '_ModuleTable016_': 'dGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAiZ2V0dGV4dC8wLjE5LjguMSIsCndWID0gIjAwMDAwMDAwMC4wMDAwMDAwMTkuMDAwMDAwMDA4LjAwMDAwMDAwMS4qemZpbmFsIiwKfSwKWyJnaXQtbGZzIl0gPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC9naXQtbGZzLzIuMTAuMC5sdWEiLApmdWxsTmFtZSA9ICJnaXQtbGZzLzIuMTAuMCIsCmxvYWRPcmRlciA9IDQ4LApwcm9wVCA9IHt9LApyZWZfY291bnQgPSAxLApzdGFja0RlcHRoID0gMiwKc3RhdHVzID0gImFjdGl2ZSIsCnVz', 'binary_diag': False, 'QT_PLUGIN_PATH': '/usr/lib64/kde4/plugins:/usr/lib/kde4/plugins', 'COM_ATMOS_MASTER': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/master', 'ntiles': 6, 'HOMEcfs': '/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1', 'DO_MERGENSST': False, 'SLURM_MEM_PER_NODE': 4096, 'STEP_GFS': 24, '_ModuleTable068_': 'LXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC91ZHVuaXRzLzIuMi4yOC5sdWEiLApmdWxsTmFtZSA9ICJ1ZHVuaXRzLzIuMi4yOCIsCmxvYWRPcmRlciA9IDI0LApwcm9wVCA9IHt9LApyZWZfY291bnQgPSAyLApzdGFja0RlcHRoID0gMiwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gInVkdW5pdHMvMi4yLjI4IiwKd1YgPSAiMDAwMDAwMDAyLjAwMDAwMDAwMi4wMDAwMDAwMjguKnpmaW5hbCIsCn0sClsidXRpbC1saW51eC11dWlkIl0gPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFk', 'YAML_LIB': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h/lib', '_ModuleTable009_': 'c3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL2N1cmwvOC40LjAubHVhIiwKZnVsbE5hbWUgPSAiY3VybC84LjQuMCIsCmxvYWRPcmRlciA9IDIyLApwcm9wVCA9IHt9LApyZWZfY291bnQgPSAyLApzdGFja0RlcHRoID0gMywKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gImN1cmwvOC40LjAiLAp3ViA9ICIwMDAwMDAwMDguMDAwMDAwMDA0Lip6ZmluYWwiLAp9LAplY2NvZGVzID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxl', 'SHELL': '/bin/bash', 'nghttp2_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7', 'DUMP_SUFFIX': '', 'FIXgsi': '/scratch1/NCEPDEV/global/CI/2663/gfs/fix/gsi', 'OCNRES': 500, 'MEL_BUFR': '/apps/gempak/7.17.0/extlibs/melBUFR/melbufr', 'COMROOT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT', 'G2C_INC': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2c-1.6.4-slwvld5/include', 'FIXgdas': '/scratch1/NCEPDEV/global/CI/2663/gfs/fix/gdas', 'COM_ATMOS_RADMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/radmon', 'GRPHGD': '/home/Terry.McGuinness', 'COM_ICE_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/restart', 'NMV': '/bin/mv', 'FIXcice': '/scratch1/NCEPDEV/global/CI/2663/gfs/fix/cice', 'wtime_arch': '06:00:00', 'COM_WAVE_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/wave/restart', 'hdf5_ver': '1.14.0', 'G2_LIBd': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2-3.4.5-77xve5x/lib64/libg2_d.a', 'py_python_dateutil_ver': '2.8.2', 'COMINecmwf': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/ecmwf', 'proj_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b', 'TOCGRIB2': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h/bin/tocgrib2', 'restart_interval_gdas': 3, '_ModuleTable065_': 'CnNxbGl0ZSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL3NxbGl0ZS8zLjQzLjIubHVhIiwKZnVsbE5hbWUgPSAic3FsaXRlLzMuNDMuMiIsCmxvYWRPcmRlciA9IDksCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDIsCnN0YWNrRGVwdGggPSAyLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAic3FsaXRlLzMuNDMuMiIsCndWID0gIjAwMDAwMDAwMy4wMDAwMDAwNDMuMDAwMDAwMDAyLip6ZmluYWwiLAp9LApbInN0YWNrLWludGVsIl0gPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQ', 'CASE': 'C48', 'SLURM_JOB_UID': 25007, '_ModuleTable_Sz_': 75, 'NMAP_RESTORE': '/apps/gempak/7.17.0/gempak/nts/nmap/restore', 'APP': 'S2SW', 'DO_COUPLED': True, 'NCLEN': '/scratch1/NCEPDEV/global/CI/2663/gfs/ush/getncdimlen', 'X509_USER_PROXY': '/home/Terry.McGuinness/.globus/usercert.pem', '__LMOD_REF_COUNT_CPATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2c-1.6.4-slwvld5/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-2.4.0.1-zg3ykcb/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsi-ncdiag-1.1.2-vwq6ky5/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/g2tmpl-1.10.2-76rbhf6/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/antlr-2.7.7-3kdtvjg/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/c-blosc-1.21.5-2lodawi/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/snappy-1.1.10-m42xdge/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/udunits-2.2.28-k3qzmyx/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/eccodes-2.32.0-vc72ssb/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openjpeg-2.3.1-zi6uhnw/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z/include:1;/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca/include:1;/apps/oneapi/mpi/2021.5.1/include:1;/apps/gnu/gcc-9.2.0/include:1;/apps/oneapi/mkl/2022.0.2/include:1', 'COM_ICE_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/input', 'SLURM_JOB_PARTITION': 'service', 'gsi_ncdiag_ver': '1.1.2', 'COM_OCEAN_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/grib2/${GRID}', 'l4densvar': True, '_ModuleTable055_': 'ZSA9ICJweS1weXR6LzIwMjMuMyIsCndWID0gIjAwMDAwMjAyMy4wMDAwMDAwMDMuKnpmaW5hbCIsCn0sClsicHktcHl4bHNiIl0gPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC9weS1weXhsc2IvMS4wLjEwLmx1YSIsCmZ1bGxOYW1lID0gInB5LXB5eGxzYi8xLjAuMTAiLApsb2FkT3JkZXIgPSA2OCwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3RhY2tEZXB0aCA9IDIsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJweS1weXhsc2IvMS4wLjEwIiwKd1YgPSAiMDAwMDAw', 'wgrib2_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx', 'SLURM_SCRIPT_CONTEXT': 'prolog_task', 'LMOD_FAMILY_COMPILER': 'intel', 'COM_WAVE_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/gridded', 'USHcfs': '/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1/ush', '_ModuleTable018_': 'IiwKfSwKWyJncmliLXV0aWwiXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL2dyaWItdXRpbC8xLjMuMC5sdWEiLApmdWxsTmFtZSA9ICJncmliLXV0aWwvMS4zLjAiLApsb2FkT3JkZXIgPSA0NCwKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJncmliLXV0aWwvMS4zLjAiLAp3ViA9ICIwMDAwMDAwMDEuMDAwMDAwMDAzLip6ZmluYWwiLAp9LApbImdzaS1uY2RpYWciXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVt', 'netcdf_fortran_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv', 'PDYm6': datetime.datetime(2021, 3, 17, 0, 0), 'spack_env': 'gsi-addon-dev-rocky8', 'ACCOUNT_SERVICE': 'fv3-cpu', 'PDYm7': datetime.datetime(2021, 3, 16, 0, 0), 'PDYm4': datetime.datetime(2021, 3, 19, 0, 0), 'PDYm5': datetime.datetime(2021, 3, 18, 0, 0), 'XLOCALEDIR': '/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/libx11-1.8.7-sj6go6lxw5ks3tzd5epr2bcfdvnhrvxb/share/X11/locale', 'PDYm2': datetime.datetime(2021, 3, 21, 0, 0), 'PDYm3': datetime.datetime(2021, 3, 20, 0, 0), 'FIXfit2obs': '/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1/fix', 'PDYm1': datetime.datetime(2021, 3, 22, 0, 0), 'PERL5LIB': '/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-list-moreutils-0.430-5d2u4nxculx5nb6m6j6cb6wv3uinvm5v/lib/perl5:/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-list-moreutils-xs-0.430-job4ukroa5lpfunty4z4px6feczlsd4m/lib/perl5:/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-exporter-tiny-1.006002-yctguzt6ejx5bftcfhjblwt6jjmc5xs3/lib/perl5:/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-xml-libxml-2.0201-ieap64ggc6erk4kmavvmx26ouz37qcth/lib/perl5/x86_64-linux-thread-multi:/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-xml-sax-1.02-7mtjnrdbi26m5hl2o3pqc6is67nccupf/lib/perl5:.', 'SP_LIB8': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sp-2.5.0-2pqzhuv/lib64/libsp_8.a', 'py_f90nml_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-f90nml-1.4.3-b4vzhct', 'MAKE_NSSTBUFR': False, 'COM_OCEAN_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/input', 'SP_LIB4': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sp-2.5.0-2pqzhuv/lib64/libsp_4.a', 'DATAROOT': '/scratch1/NCEPDEV/stmp2/Terry.McGuinness/RUNDIRS/C48_S2SW_ccd87d4f', 'SLURM_JOB_USER': 'Terry.McGuinness', 'DO_OCN': True, '_ModuleTable035_': 'b2FkT3JkZXIgPSAzNCwKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJuZXRjZGYtZm9ydHJhbi80LjYuMSIsCndWID0gIjAwMDAwMDAwNC4wMDAwMDAwMDYuMDAwMDAwMDAxLip6ZmluYWwiLAp9LApuZ2h0dHAyID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvbmdodHRwMi8xLjU3LjAubHVhIiwKZnVsbE5hbWUgPSAibmdodHRwMi8xLjU3LjAiLApsb2FkT3JkZXIgPSAyMSwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3Rh', 'FIXaer': '/scratch1/NCEPDEV/global/CI/2663/gfs/fix/aer', 'DO_GEMPAK': False, 'FHMAX_HF_WAV': 120, 'SLURM_NPROCS': 1, '_ModuleTable066_': 'REVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9Db3JlL3N0YWNrLWludGVsLzIwMjEuNS4wLmx1YSIsCmZ1bGxOYW1lID0gInN0YWNrLWludGVsLzIwMjEuNS4wIiwKbG9hZE9yZGVyID0gMywKcHJvcFQgPSB7fSwKc3RhY2tEZXB0aCA9IDEsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFtZSA9ICJzdGFjay1pbnRlbC8yMDIxLjUuMCIsCndWID0gIjAwMDAwMjAyMS4wMDAwMDAwMDUuKnpmaW5hbCIsCn0sClsic3RhY2staW50ZWwtb25lYXBpLW1waSJdID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFj', 'SHLVL': 4, 'SCRIPTSfit2obs': '/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1/scripts', 'libyaml_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h', 'ENKF_SPREAD': True, 'HOMEgfs': '/scratch1/NCEPDEV/global/CI/2663/gfs', 'SLURM_SUBMIT_HOST': 'hfe02', 'IP_LIB8': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg/lib64/libip_8.a', 'DEBUG_POSTSCRIPT': False, 'PYTHONPATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xarray-2023.7.0-joweppx/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-packaging-23.1-5gvg7qo/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-python-dateutil-2.8.2-3qglnj4/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-six-1.16.0-t54borv/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pandas-1.5.3-tguwpv3/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlwt-1.3.0-5nxruci/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlsxwriter-3.1.7-7l25bnt/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlrd-2.0.1-fwxe33t/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyxlsb-1.0.10-ghdqaaw/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pytz-2023.3-kkz2z3d/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-openpyxl-3.1.2-4tswswo/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-et-xmlfile-1.0.1-it6uamo/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numexpr-2.8.4-uqnmadj/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-bottleneck-1.3.7-4itjkyr/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-jinja2-3.1.2-3yb4fme/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-markupsafe-2.1.3-clrlf2z/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pyyaml-6.0-4sduut5/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-netcdf4-1.5.8-haeompg/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-cftime-1.0.3.4-4s5bg7j/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-f90nml-1.4.3-b4vzhct/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib64/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numpy-1.23.4-yrvnxwk/lib/python3.11/site-packages:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-setuptools-63.4.3-qppw6fo/lib/python3.11/site-packages:/scratch1/NCEPDEV/global/CI/2663/gfs/ush/python', 'MPIF77': 'mpiifort', 'SLURM_JOB_ACCOUNT': 'fv3-cpu', 'metplus_ver': '3.1.1', 'ACLOCAL_PATH': '/usr/share/aclocal:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/share/aclocal:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/share/aclocal', 'IP_LIB4': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg/lib64/libip_4.a', 'W3EMC_LIBd': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa/lib64/libw3emc_d.a', 'netcdf_diag': True, 'DO_WAVE': True, 'MANPATH': '/usr/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nco-5.0.6-s5fkg5v/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt/share/man:/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-5.38.0-yl7cdgbmiic3h7gbvdthgequuixjvuja/man:/apps/R/3.6.1/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos/share/man:/apps/ncl/6.6.2-gcc-13.2.0/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z/share/man:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca/share/man:/apps/oneapi/mpi/2021.5.1/man:/apps/oneapi/compiler/2022.0.2/documentation/en/man/common:/apps/slurm/default/share/man:/apps/lmod/lmod/share/man:/apps/local/man::', '__LMOD_REF_COUNT_CLASSPATH': '/apps/oneapi/mpi/2021.5.1/lib/mpi.jar:1', 'SLURM_EXPORT_ENV': 'NONE', 'NET': 'gfs', 'LMOD_FAMILY_METAMPI': 'stack-intel-oneapi-mpi', 'NA_OS': 'linux64', 'CASE_ENS': '@CASEENS@', 'SP_LIBd': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sp-2.5.0-2pqzhuv/lib64/libsp_d.a', '_ModuleTable028_': 'LjIuNS5sdWEiLApmdWxsTmFtZSA9ICJsaWJ5YW1sLzAuMi41IiwKbG9hZE9yZGVyID0gNTksCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDEsCnN0YWNrRGVwdGggPSAyLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAibGlieWFtbC8wLjIuNSIsCndWID0gIjAwMDAwMDAwMC4wMDAwMDAwMDIuMDAwMDAwMDA1Lip6ZmluYWwiLAp9LAptZXQgPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC1vbmVhcGktbXBpLzIwMjEuNS4xL2ludGVsLzIwMjEuNS4wL21ldC85LjEuMy5sdWEiLApmdWxsTmFtZSA9', 'SLURM_TRES_PER_TASK': 'cpu:1', 'intel_oneapi_mpi_ROOT': '/apps/oneapi', 'INCVARS_EFOLD': 5, 'HYDRA_BOOTSTRAP': 'slurm', 'python_ver': '3.11.6', 'c_blosc_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/c-blosc-1.21.5-2lodawi', '_ModuleTable038_': 'MDAwMDAwMDAzLjAwMDAwMDAwMS4qemZpbmFsIiwKfSwKcGVybCA9IHsKZm4gPSAiL2FwcHMvbW9kdWxlcy9tb2R1bGVmaWxlcy9wZXJsLzUuMzguMCIsCmZ1bGxOYW1lID0gInBlcmwvNS4zOC4wIiwKbG9hZE9yZGVyID0gMjcsCnByb3BUID0ge30sCnN0YWNrRGVwdGggPSAxLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAicGVybC81LjM4LjAiLAp3ViA9ICIwMDAwMDAwMDUuMDAwMDAwMDM4Lip6ZmluYWwiLAp9LApbInBrZy1jb25maWciXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIw', 'LMOD_PREPEND_BLOCK': 'normal', 'LOCALARCH': False, 'COM_ICE_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/grib2/${GRID}', 'FHMAX_WAV_GFS': 120, 'W3EMC_LIB8': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa/lib64/libw3emc_8.a', 'MODULEPATH': '/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1/modulefiles:/scratch1/NCEPDEV/global/glopara/git/prepobs/dev-gfsv17/modulefiles:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0:/apps/modules/modulefamilies/intel_impi:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0:/apps/modules/modulefamilies/intel:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/Core:/scratch1/NCEPDEV/global/CI/2663/gfs/modulefiles:/etc/scl/modulefiles:/apps/lmod/lmod/modulefiles/Core:/apps/modules/modulefiles/Linux:/apps/modules/modulefiles', 'ncl_ver': '6.6.2', 'CRTM_LIB': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-2.4.0.1-zg3ykcb/lib/libcrtm.a', 'jasper_ver': '2.0.32', 'restart_interval_gfs': 12, 'COM_ATMOS_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/input', 'IP_LIBd': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/ip-4.3.0-ozyjvbg/lib64/libip_d.a', 'W3EMC_LIB4': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa/lib64/libw3emc_4.a', '__LMOD_REF_COUNT_PERL5LIB': '/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-list-moreutils-0.430-5d2u4nxculx5nb6m6j6cb6wv3uinvm5v/lib/perl5:1;/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-list-moreutils-xs-0.430-job4ukroa5lpfunty4z4px6feczlsd4m/lib/perl5:1;/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-exporter-tiny-1.006002-yctguzt6ejx5bftcfhjblwt6jjmc5xs3/lib/perl5:1;/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-xml-libxml-2.0201-ieap64ggc6erk4kmavvmx26ouz37qcth/lib/perl5/x86_64-linux-thread-multi:1;/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-xml-sax-1.02-7mtjnrdbi26m5hl2o3pqc6is67nccupf/lib/perl5:1;.:1', 'SCRIPTScfs': '/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1/scripts', 'SLURM_GTIDS': 0, 'LOGNAME': 'Terry.McGuinness', 'FSYNC': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl/bin/fsync_file', 'crtm_ver': '2.4.0.1', '__LMOD_REF_COUNT_XLOCALEDIR': '/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/libx11-1.8.7-sj6go6lxw5ks3tzd5epr2bcfdvnhrvxb/share/X11/locale:1', 'GEMPDF': '/apps/gempak/7.17.0/gempak/pdf', 'COM_MED_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/med/restart', 'DO_CALC_INCREMENT': False, 'ERRSCRIPT': 'eval [[ $err = 0 ]]', 'COM_ATMOS_OZNMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/oznmon', 'netcdf_fortran_ver': '4.6.1', 'CRTM_INC': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/unified-env-rocky8/install/intel/2021.5.0/crtm-2.4.0.1-zg3ykcb/include', 'COM_ATMOS_WMO_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/wmo', 'COM_WAVE_GRID': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/wave/gridded', 'MKLROOT': '/apps/oneapi/mkl/2022.0.2', 'MODE': 'forecast-only', 'CNVGRIB': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h/bin/cnvgrib', 'NOSCRUB': '/scratch1/NCEPDEV/global/Terry.McGuinness', 'FIXgfs': '/scratch1/NCEPDEV/global/CI/2663/gfs/fix', 'COM_ATMOS_TRACK': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/cyclone/tracks', 'MODULEPATH_ROOT': '/apps/modules/modulefiles', 'LMOD_PACKAGE_PATH': '/apps/lmod/etc', 'USHgfs': '/scratch1/NCEPDEV/global/CI/2663/gfs/ush', 'COM_CHEM_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/chem/history', 'FHOUT_HF_GFS': 1, 'SENDECF': False, 'COM_OCEAN_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/grib2', 'py_pytz_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-pytz-2023.3-kkz2z3d', 'SP_INC4': 'include_4', 'gettext_ROOT': '/usr', 'COM_ATMOS_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/atmos', '_ModuleTable025_': 'L3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL2xpYmpwZWcvMi4xLjAubHVhIiwKZnVsbE5hbWUgPSAibGlianBlZy8yLjEuMCIsCmxvYWRPcmRlciA9IDE1LApwcm9wVCA9IHt9LApyZWZfY291bnQgPSAxLApzdGFja0RlcHRoID0gMiwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gImxpYmpwZWcvMi4xLjAiLAp3ViA9ICIwMDAwMDAwMDIuMDAwMDAwMDAxLip6ZmluYWwiLAp9LApsaWJwbmcgPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNr', 'py_setuptools_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-setuptools-63.4.3-qppw6fo', 'DO_JEDIATMENS': False, 'SP_INC8': 'include_8', 'BUFR_INCd': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/include/bufr_d', 'BASE_JOB': '/scratch1/NCEPDEV/global/CI/2663/gfs/jobs/rocoto', 'KEEPDATA': False, 'MAKE_ACFTBUFR': False, '_ModuleTable059_': 'MC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvcHkteGFycmF5LzIwMjMuNy4wLmx1YSIsCmZ1bGxOYW1lID0gInB5LXhhcnJheS8yMDIzLjcuMCIsCmxvYWRPcmRlciA9IDgwLApwcm9wVCA9IHt9LApzdGFja0RlcHRoID0gMSwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gInB5LXhhcnJheS8yMDIzLjcuMCIsCndWID0gIjAwMDAwMjAyMy4wMDAwMDAwMDcuKnpmaW5hbCIsCn0sClsicHkteGxyZCJdID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmls', 'PATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/metplus-3.1.1-w6whvyc/ush:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/met-9.1.3-yw4trjl/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlsxwriter-3.1.7-7l25bnt/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-xlrd-2.0.1-fwxe33t/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-netcdf4-1.5.8-haeompg/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-f90nml-1.4.3-b4vzhct/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/wgrib2-2.0.8-nauzcdx/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-numpy-1.23.4-yrvnxwk/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsi-ncdiag-1.1.2-vwq6ky5/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/grib-util-1.3.0-ms2iw3h/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nco-5.0.6-s5fkg5v/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/antlr-2.7.7-3kdtvjg/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp/bin:/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/perl-5.38.0-yl7cdgbmiic3h7gbvdthgequuixjvuja/bin:/apps/R/3.6.1/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/udunits-2.2.28-k3qzmyx/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos/bin:/apps/ncl/6.6.2-gcc-13.2.0/bin:/apps/gempak/7.17.0/os/linux64/bin:/apps/gempak/7.17.0/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl/bin:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn/bin:/apps/oneapi/mpi/2021.5.1/libfabric/bin:/apps/oneapi/mpi/2021.5.1/bin:/apps/gnu/gcc-9.2.0/bin:/apps/oneapi/mkl/2022.0.2/bin/intel64:/apps/oneapi/compiler/2022.0.2/linux/lib/oclfpga/bin:/apps/oneapi/compiler/2022.0.2/linux/bin/intel64:/apps/oneapi/compiler/2022.0.2/linux/bin:/apps/slurm/archive/bin:/apps/slurm/default/bin:/apps/slurm/default/sbin:/usr/local/bin:/usr/bin:/usr/local/sbin:/usr/sbin:/apps/hpss:/apps/local/bin:/apps/local/sbin:/apps/bin:/home/Terry.McGuinness/bin', 'DO_TRACKER': True, 'SLURM_JOB_ID': 61437950, '_ModuleTable014_': 'Vi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvZzJ0bXBsLzEuMTAuMi5sdWEiLApmdWxsTmFtZSA9ICJnMnRtcGwvMS4xMC4yIiwKbG9hZE9yZGVyID0gNDUsCnByb3BUID0ge30sCnN0YWNrRGVwdGggPSAxLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAiZzJ0bXBsLzEuMTAuMiIsCndWID0gIjAwMDAwMDAwMS4wMDAwMDAwMTAuMDAwMDAwMDAyLip6ZmluYWwiLAp9LApnZW1wYWsgPSB7CmZuID0gIi9hcHBzL21vZHVsZXMvbW9kdWxlZmlsZXMvZ2VtcGFrLzcuMTcuMCIsCmZ1bGxOYW1lID0gImdlbXBhay83LjE3LjAiLAps', 'COM_OCEAN_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ocean/history', 'py_xarray_ver': '2023.7.0', 'ARCH_GAUSSIAN': True, '_LMFILES_': '/apps/modules/modulefiles/contrib:/apps/modules/modulefiles/intel/2022.1.2:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/Core/stack-intel/2021.5.0.lua:/apps/modules/modulefamilies/intel/impi/2022.1.2:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/stack-intel-oneapi-mpi/2021.5.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/gettext/0.19.8.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/libxcrypt/4.4.35.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/zlib/1.2.13.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/sqlite/3.43.2.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/util-linux-uuid/2.38.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/python/3.11.6.lua:/apps/modules/modulefiles/hpss/hpss:/apps/modules/modulefiles/gempak/7.17.0:/apps/modules/modulefiles/ncl/6.6.2:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/libjpeg/2.1.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/jasper/2.0.32.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/libpng/1.6.37.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/openjpeg/2.3.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/eccodes/2.32.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0/fftw/3.3.10.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/nghttp2/1.57.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/curl/8.4.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/proj/8.1.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/udunits/2.2.28.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0/cdo/2.2.0.lua:/apps/modules/modulefiles/R/3.6.1:/apps/modules/modulefiles/perl/5.38.0:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/pkg-config/0.27.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0/hdf5/1.14.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/snappy/1.1.10.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/zstd/1.5.2.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/c-blosc/1.21.5.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0/netcdf-c/4.9.2.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0/netcdf-fortran/4.6.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/antlr/2.7.7.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/gsl/2.7.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0/nco/5.0.6.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/bacio/2.4.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/w3emc/2.10.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/prod_util/2.1.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/g2/3.4.5.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/sp/2.5.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/ip/4.3.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/grib-util/1.3.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/g2tmpl/1.10.2.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0/gsi-ncdiag/1.1.2.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/crtm-fix/2.4.0.1_emc.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/git-lfs/2.10.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0/crtm/2.4.0.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/openblas/0.3.24.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-setuptools/63.4.3.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-numpy/1.23.4.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/bufr/11.7.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/gmake/3.82.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/wgrib2/2.0.8.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-f90nml/1.4.3.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-cftime/1.0.3.4.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0/py-netcdf4/1.5.8.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/libyaml/0.2.5.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-pyyaml/6.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-markupsafe/2.1.3.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-jinja2/3.1.2.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-bottleneck/1.3.7.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-numexpr/2.8.4.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-et-xmlfile/1.0.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-openpyxl/3.1.2.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-pytz/2023.3.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-pyxlsb/1.0.10.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-xlrd/2.0.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-xlsxwriter/3.1.7.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-xlwt/1.3.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-pandas/1.5.3.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-six/1.16.0.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-python-dateutil/2.8.2.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/g2c/1.6.4.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0/netcdf-cxx4/4.3.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0/met/9.1.3.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel-oneapi-mpi/2021.5.1/intel/2021.5.0/metplus/3.1.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-packaging/23.1.lua:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/modulefiles/intel/2021.5.0/py-xarray/2023.7.0.lua:/scratch1/NCEPDEV/global/glopara/git/prepobs/dev-gfsv17/modulefiles/prepobs/1.0.1.lua:/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1/modulefiles/fit2obs/1.1.1.lua:/scratch1/NCEPDEV/global/CI/2663/gfs/modulefiles/module_base.hera.lua', 'BACIO_LIB4': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bacio-2.4.1-wqq7qmr/lib/libbacio_4.a', 'GSI_SOILANAL': False, 'EXECgfs': '/scratch1/NCEPDEV/global/CI/2663/gfs/exec', 'GEMPAK': '/apps/gempak/7.17.0/gempak', 'cycle': 't12z', 'w3emc_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/w3emc-2.10.0-xer6twa', 'LEVS': 128, 'DEBUGINFOD_URLS': 'https://debuginfod.centos.org/ ', 'pgmerr': 'errfile', 'PS4': '+ $(basename ${BASH_SOURCE[0]:-${FUNCNAME[0]:-"Unknown"}})[${LINENO}]: ', 'BACIO_LIB8': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bacio-2.4.1-wqq7qmr/lib/libbacio_8.a', 'py_f90nml_ver': '1.4.3', 'EXECfit2obs': '/scratch1/NCEPDEV/global/glopara/git/Fit2Obs/v1.1.1/exec', 'perl_ver': '5.38.0', 'BUFR_LIBd': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib64/libbufr_d.so', 'BASE_GIT': '/scratch1/NCEPDEV/global/glopara/git', 'COM_OCEAN_NETCDF': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ocean/netcdf', 'MODULESHOME': '/apps/lmod/lmod', 'LMOD_SETTARG_FULL_SUPPORT': False, 'PKG_CONFIG_PATH': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-cxx4-4.3.1-wba23ef/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libyaml-0.2.5-f3a4y3h/lib/pkgconfig:/usr/share/pkgconfig:/usr/lib64/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openblas-0.3.24-o6x5uk4/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsl-2.7.1-xf6mkfq/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-fortran-4.6.1-ifhqcwv/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/netcdf-c-4.9.2-ejp7j3k/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/c-blosc-1.21.5-2lodawi/lib64/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zstd-1.5.2-ui7ilkt/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/snappy-1.1.10-m42xdge/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/hdf5-1.14.0-lixiejp/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/cdo-2.2.0-jfn7dj4/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/proj-8.1.0-6hk2h5b/lib64/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/curl-8.4.0-5lex66w/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/nghttp2-1.57.0-kvadra7/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/fftw-3.3.10-7ud23to/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/eccodes-2.32.0-vc72ssb/lib64/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/openjpeg-2.3.1-zi6uhnw/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libpng-1.6.37-cha7kfi/lib64/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/jasper-2.0.32-atr6lqe/lib64/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libjpeg-turbo-2.1.0-ovaykos/lib64/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/util-linux-uuid-2.38.1-lsjm2vl/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/sqlite-3.43.2-34hfinn/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/zlib-1.2.13-eabxx4z/lib/pkgconfig:/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/libxcrypt-4.4.35-mfr2lca/lib/pkgconfig:/apps/oneapi/mpi/2021.5.1/lib/pkgconfig', 'COM_ATMOS_GENESIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/cyclone/genesis_vital', 'I_MPI_ROOT': '/apps/oneapi/mpi/2021.5.1', '_ModuleTable011_': 'dHcvMy4zLjEwLmx1YSIsCmZ1bGxOYW1lID0gImZmdHcvMy4zLjEwIiwKbG9hZE9yZGVyID0gMjAsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDEsCnN0YWNrRGVwdGggPSAyLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAiZmZ0dy8zLjMuMTAiLAp3ViA9ICIwMDAwMDAwMDMuMDAwMDAwMDAzLjAwMDAwMDAxMC4qemZpbmFsIiwKfSwKZml0Mm9icyA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvZ2xvYmFsL2dsb3BhcmEvZ2l0L0ZpdDJPYnMvdjEuMS4xL21vZHVsZWZpbGVzL2ZpdDJvYnMvMS4xLjEubHVhIiwKZnVsbE5hbWUgPSAiZml0Mm9icy8xLjEuMSIsCmxvYWRPcmRlciA9IDgyLApwcm9wVCA9IHt9LApzdGFja0RlcHRoID0gMSwKc3RhdHVzID0gImFjdGl2', 'IAUFHRS_ENKF': '3,6,9', '_ModuleTable030_': 'b3BUID0ge30sCnN0YWNrRGVwdGggPSAxLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAibWV0cGx1cy8zLjEuMSIsCndWID0gIjAwMDAwMDAwMy4wMDAwMDAwMDEuMDAwMDAwMDAxLip6ZmluYWwiLAp9LApbIm1vZHVsZV9iYXNlLmhlcmEiXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvZ2xvYmFsL0NJLzI2NjMvZ2ZzL21vZHVsZWZpbGVzL21vZHVsZV9iYXNlLmhlcmEubHVhIiwKZnVsbE5hbWUgPSAibW9kdWxlX2Jhc2UuaGVyYSIsCmxvYWRPcmRlciA9IDgzLApwcm9wVCA9IHt9LApzdGFja0RlcHRoID0gMCwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gIm1vZHVsZV9iYXNlLmhlcmEiLAp3ViA9ICJNLip6ZmluYWwiLAp9LApuY2wgPSB7CmZuID0g', 'SP_INCd': 'include_d', 'BUFR_INC8': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/include/bufr_8', 'DO_VRFY_OCEANDA': False, 'HISTSIZE': 1000, '_ModuleTable063_': 'YWNrRGVwdGggPSAxLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAicHl0aG9uLzMuMTEuNiIsCndWID0gIjAwMDAwMDAwMy4wMDAwMDAwMTEuMDAwMDAwMDA2Lip6ZmluYWwiLAp9LApzbmFwcHkgPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC9zbmFwcHkvMS4xLjEwLmx1YSIsCmZ1bGxOYW1lID0gInNuYXBweS8xLjEuMTAiLApsb2FkT3JkZXIgPSAzMCwKcHJvcFQgPSB7fSwKcmVmX2NvdW50ID0gMSwKc3RhY2tEZXB0aCA9IDMsCnN0YXR1cyA9ICJhY3RpdmUiLAp1c2VyTmFt', 'BUFR_INC4': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/include/bufr_4', 'INCREMENTS_TO_ZERO': "'liq_wat_inc','icmr_inc','rwmr_inc','snmr_inc','grle_inc'", 'LMOD_PKG': '/apps/lmod/lmod', 'IAU_OFFSET': 0, 'DO_GENESIS_FSU': False, 'prod_util_ver': '2.1.1', 'antlr_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/antlr-2.7.7-3kdtvjg', '_ModuleTable045_': 'YXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwvMjAyMS41LjAvcHktZjkwbm1sLzEuNC4zLmx1YSIsCmZ1bGxOYW1lID0gInB5LWY5MG5tbC8xLjQuMyIsCmxvYWRPcmRlciA9IDU2LApwcm9wVCA9IHt9LApzdGFja0RlcHRoID0gMSwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gInB5LWY5MG5tbC8xLjQuMyIsCndWID0gIjAwMDAwMDAwMS4wMDAwMDAwMDQuMDAwMDAwMDAzLip6ZmluYWwiLAp9LApbInB5LWppbmphMiJdID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9z', 'FHMAX_HF_GFS': 0, 'COM_WAVE_STATION_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/station', 'py_cftime_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-cftime-1.0.3.4-4s5bg7j', 'COM_OCEAN_GRIB': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ocean/grib2', 'gsi_ncdiag_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/gsi-ncdiag-1.1.2-vwq6ky5', 'COM_CONF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/conf', '_ModuleTable053_': 'RGVwdGggPSAxLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAicHktcGFuZGFzLzEuNS4zIiwKd1YgPSAiMDAwMDAwMDAxLjAwMDAwMDAwNS4wMDAwMDAwMDMuKnpmaW5hbCIsCn0sClsicHktcHl0aG9uLWRhdGV1dGlsIl0gPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC9weS1weXRob24tZGF0ZXV0aWwvMi44LjIubHVhIiwKZnVsbE5hbWUgPSAicHktcHl0aG9uLWRhdGV1dGlsLzIuOC4yIiwKbG9hZE9yZGVyID0gNzQsCnByb3BUID0ge30sCnN0YWNrRGVwdGggPSAxLApzdGF0', 'BUFR_LIB4': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib64/libbufr_4.so', 'COM_ATMOS_TRACK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/cyclone/tracks', 'PTMP': '/scratch1/NCEPDEV/stmp4/Terry.McGuinness', 'X509_USER_CERT': '/home/Terry.McGuinness/.globus/usercert.pem', 'FHOUT_HF_WAV': 1, 'DONST': True, 'LMOD_CMD': '/apps/lmod/lmod/libexec/lmod', 'ESMFBINDIR': '/apps/spack/linux-rocky8-x86_64/gcc-13.2.0/esmf-8.2.0-75bjvvfk4df6b5t3gsy3jqgf3xjmnut2/bin', 'SCRIPTSprepobs': '/scratch1/NCEPDEV/global/glopara/git/prepobs/dev-gfsv17/scripts', '_ModuleTable005_': 'LzIwMjEuNS4wL2MtYmxvc2MvMS4yMS41Lmx1YSIsCmZ1bGxOYW1lID0gImMtYmxvc2MvMS4yMS41IiwKbG9hZE9yZGVyID0gMzIsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDEsCnN0YWNrRGVwdGggPSAyLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAiYy1ibG9zYy8xLjIxLjUiLAp3ViA9ICIwMDAwMDAwMDEuMDAwMDAwMDIxLjAwMDAwMDAwNS4qemZpbmFsIiwKfSwKY2RvID0gewpmbiA9ICIvc2NyYXRjaDEvTkNFUERFVi9uZW1zL3JvbGUuZXBpYy9zcGFjay1zdGFjay9zcGFjay1zdGFjay0xLjYuMC9lbnZzL2dzaS1hZGRvbi1kZXYtcm9ja3k4L2luc3RhbGwvbW9kdWxlZmlsZXMvaW50ZWwtb25lYXBpLW1waS8yMDIxLjUuMS9pbnRlbC8yMDIxLjUuMC9jZG8v', 'ens_tracker_ver': 'feature-GFSv17_com_reorg', 'DO_AERO': False, 'BUFR_LIB8': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/bufr-11.7.0-w62mmgj/lib64/libbufr_8.so', 'STMP': '/scratch1/NCEPDEV/stmp2/Terry.McGuinness', 'SLURM_LOCALID': 0, 'python_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/python-3.11.6-b6ydksr', 'COM_ATMOS_WMO': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/wmo', 'CHGRP_RSTPROD': True, 'DBNROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/prod-util-2.1.1-4vpcrpl/fakedbn', 'CVS_RSH': 'ssh', '_ModuleTable042_': 'LAp9LApbInB5LWJvdHRsZW5lY2siXSA9IHsKZm4gPSAiL3NjcmF0Y2gxL05DRVBERVYvbmVtcy9yb2xlLmVwaWMvc3BhY2stc3RhY2svc3BhY2stc3RhY2stMS42LjAvZW52cy9nc2ktYWRkb24tZGV2LXJvY2t5OC9pbnN0YWxsL21vZHVsZWZpbGVzL2ludGVsLzIwMjEuNS4wL3B5LWJvdHRsZW5lY2svMS4zLjcubHVhIiwKZnVsbE5hbWUgPSAicHktYm90dGxlbmVjay8xLjMuNyIsCmxvYWRPcmRlciA9IDYzLApwcm9wVCA9IHt9LApyZWZfY291bnQgPSAxLApzdGFja0RlcHRoID0gMiwKc3RhdHVzID0gImFjdGl2ZSIsCnVzZXJOYW1lID0gInB5LWJvdHRsZW5lY2svMS4zLjciLAp3ViA9ICIwMDAwMDAwMDEuMDAwMDAwMDAzLjAwMDAwMDAwNy4qemZpbmFsIiwKfSwKWyJweS1j', 'WGRIB2': 'wgrib2', 'LESSOPEN': '||/usr/bin/lesspipe.sh %s', 'OMP_NUM_THREADS': 1, '_ModuleTable020_': 'L3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9tb2R1bGVmaWxlcy9pbnRlbC8yMDIxLjUuMC9nc2wvMi43LjEubHVhIiwKZnVsbE5hbWUgPSAiZ3NsLzIuNy4xIiwKbG9hZE9yZGVyID0gMzYsCnByb3BUID0ge30sCnJlZl9jb3VudCA9IDIsCnN0YWNrRGVwdGggPSAyLApzdGF0dXMgPSAiYWN0aXZlIiwKdXNlck5hbWUgPSAiZ3NsLzIuNy4xIiwKd1YgPSAiMDAwMDAwMDAyLjAwMDAwMDAwNy4wMDAwMDAwMDEuKnpmaW5hbCIsCn0sCmhkZjUgPSB7CmZuID0gIi9zY3JhdGNoMS9OQ0VQREVWL25lbXMvcm9sZS5lcGljL3NwYWNrLXN0YWNrL3NwYWNrLXN0YWNrLTEuNi4wL2VudnMvZ3NpLWFkZG9uLWRldi1yb2NreTgvaW5zdGFsbC9t', 'COM_OCEAN_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/history', 'LMOD_FULL_SETTARG_SUPPORT': False, 'EXP_WARM_START': False, 'FHMAX_WAV': 9, 'COM_ICE_GRIB': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ice/grib2', 'LMOD_DIR': '/apps/lmod/lmod/libexec', 'py_openpyxl_ROOT': '/scratch1/NCEPDEV/nems/role.epic/spack-stack/spack-stack-1.6.0/envs/gsi-addon-dev-rocky8/install/intel/2021.5.0/py-openpyxl-3.1.2-4tswswo', 'SCRgfs': '/scratch1/NCEPDEV/global/CI/2663/gfs/scripts', 'HOMEprepobs': '/scratch1/NCEPDEV/global/glopara/git/prepobs/dev-gfsv17', 'LMOD_FAMILY_MPI': 'impi', '_ModuleTable075_': 'b2R1bGVmaWxlcyIKLCAiL2FwcHMvbG1vZC9sbW9kL21vZHVsZWZpbGVzL0NvcmUiLCAiL2FwcHMvbW9kdWxlcy9tb2R1bGVmaWxlcy9MaW51eCIsICIvYXBwcy9tb2R1bGVzL21vZHVsZWZpbGVzIiwKfSwKc3lzdGVtQmFzZU1QQVRIID0gIi9ldGMvc2NsL21vZHVsZWZpbGVzOi9hcHBzL2xtb2QvbG1vZC9tb2R1bGVmaWxlcy9Db3JlOi9hcHBzL21vZHVsZXMvbW9kdWxlZmlsZXMvTGludXg6L2FwcHMvbW9kdWxlcy9tb2R1bGVmaWxlcyIsCn0K', 'fit2obs_ver': '1.1.1', 'BASH_FUNC_module%%': '() { if [ -z "${LMOD_SH_DBG_ON+x}" ]; then\n case "$-" in \n *v*x*)\n __lmod_sh_dbg=\'vx\'\n ;;\n *v*)\n __lmod_sh_dbg=\'v\'\n ;;\n *x*)\n __lmod_sh_dbg=\'x\'\n ;;\n esac;\n fi;\n if [ -n "${__lmod_sh_dbg:-}" ]; then\n set +$__lmod_sh_dbg;\n echo "Shell debugging temporarily silenced: export LMOD_SH_DBG_ON=1 for Lmod\'s output" 1>&2;\n fi;\n eval "$($LMOD_CMD shell "$@")" && eval "$(${LMOD_SETTARG_CMD:-:} -s sh)";\n __lmod_my_status=$?;\n if [ -n "${__lmod_sh_dbg:-}" ]; then\n echo "Shell debugging restarted" 1>&2;\n set -$__lmod_sh_dbg;\n fi;\n unset __lmod_sh_dbg;\n return $__lmod_my_status\n}', 'BASH_FUNC_declare_from_tmpl%%': '() { if [[ ${DEBUG_WORKFLOW:-"NO"} == "NO" ]]; then\n set +x;\n fi;\n local opts="-g";\n local OPTIND=1;\n while getopts "rx" option; do\n opts="${opts}${option}";\n done;\n shift $((OPTIND-1));\n for input in "$@";\n do\n IFS=\':\' read -ra args <<< "${input}";\n local com_var="${args[0]}";\n local template;\n local value;\n if (( ${#args[@]} > 1 )); then\n template="${args[1]}";\n else\n template="${com_var}_TMPL";\n fi;\n if [[ ! -v "${template}" ]]; then\n echo "FATAL ERROR in declare_from_tmpl: Requested template ${template} not defined!";\n exit 2;\n fi;\n value=$(echo "${!template}" | envsubst);\n declare ${opts} "${com_var}"="${value}";\n echo "declare_from_tmpl :: ${com_var}=${value}";\n done;\n set_trace\n}', 'BASH_FUNC_wait_for_file%%': '() { set +x;\n local file_name=${1:?"wait_for_file() requires a file name"};\n local sleep_interval=${2:-60};\n local max_tries=${3:-100};\n for ((iter=0; iter<max_tries; iter++ ))\n do\n if [[ -r ${file_name} ]]; then\n set_trace;\n return 0;\n fi;\n sleep "${sleep_interval}";\n done;\n set_trace;\n return 1\n}', 'BASH_FUNC_ml%%': '() { eval "$($LMOD_DIR/ml_cmd "$@")"\n}', '_': '/scratch1/NCEPDEV/global/CI/2663/gfs/scripts/exglobal_archive.py', 'LC_CTYPE': 'C.UTF-8'} )
2024-06-07 22:32:12,897 - DEBUG - task : Deleting runtime_key PDY from config
2024-06-07 22:32:12,898 - DEBUG - task : Deleting runtime_key cyc from config
2024-06-07 22:32:12,898 - DEBUG - task : Deleting runtime_key DATA from config
2024-06-07 22:32:12,898 - DEBUG - task : Deleting runtime_key RUN from config
2024-06-07 22:32:12,898 - DEBUG - task : Deleting runtime_key CDUMP from config
2024-06-07 22:32:12,898 - DEBUG - task : current cycle: 2021-03-23 12:00:00
2024-06-07 22:32:12,898 - DEBUG - task : previous cycle: 2021-03-23 06:00:00
2024-06-07 22:32:12,898 - INFO - archive : BEGIN: pygfs.task.archive._gen_relative_paths
2024-06-07 22:32:12,898 - DEBUG - archive : ( <pygfs.task.archive.Archive object at 0x14c64d552650>, '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/' )
2024-06-07 22:32:12,899 - INFO - archive : END: pygfs.task.archive._gen_relative_paths
2024-06-07 22:32:12,899 - DEBUG - archive : returning: {'ocean_restart_dir': 'gfs.20210323/12//model_data/ocean/restart', 'ice_history_dir': 'gfs.20210323/12//model_data/ice/history', 'atmos_history_dir': 'gfs.20210323/12//model_data/atmos/history', 'obs_dir': 'gfs.20210323/12/obs', 'wave_history_dir': 'gfs.20210323/12//model_data/wave/history', 'snow_analysis_dir': 'gfs.20210323/12//analysis/snow', 'atmos_minmon_dir': 'gfs.20210323/12//products/atmos/minmon', 'atmos_grib_0p25_dir': 'gfs.20210323/12//products/atmos/grib2/0p25', 'chem_analysis_dir': 'gfs.20210323/12//analysis/chem', 'atmos_oznmon_dir': 'gfs.20210323/12//products/atmos/oznmon', 'atmos_input_dir': 'gfs.20210323/12//model_data/atmos/input', 'atmos_grib_0p50_dir': 'gfs.20210323/12//products/atmos/grib2/0p50', 'atmos_restart_dir': 'gfs.20210323/12//model_data/atmos/restart', 'atmos_genesis_dir': 'gfs.20210323/12//products/atmos/cyclone/genesis_vital', 'atmos_gempak_dir': 'gfs.20210323/12//products/atmos/gempak/', 'conf_dir': 'gfs.20210323/12//conf', 'atmos_grib_1p00_dir': 'gfs.20210323/12//products/atmos/grib2/1p00', 'med_restart_dir': 'gfs.20210323/12//model_data/med/restart', 'top_dir': 'gfs.20210323/12', 'wave_station_dir': 'gfs.20210323/12//products/wave/station', 'ice_input_dir': 'gfs.20210323/12//model_data/ice/input', 'ocean_analysis_dir': 'gfs.20210323/12//analysis/ocean', 'atmos_bufr_dir': 'gfs.20210323/12//products/atmos/bufr', 'atmos_master_dir': 'gfs.20210323/12//model_data/atmos/master', 'atmos_radmon_dir': 'gfs.20210323/12//products/atmos/radmon', 'ice_restart_dir': 'gfs.20210323/12//model_data/ice/restart', 'wave_restart_dir': 'gfs.20210323/12//model_data/wave/restart', 'wave_grid_dir': 'gfs.20210323/12//products/wave/gridded', 'atmos_track_dir': 'gfs.20210323/12//products/atmos/cyclone/tracks', 'chem_history_dir': 'gfs.20210323/12//model_data/chem/history', 'atmos_analysis_dir': 'gfs.20210323/12//analysis/atmos', 'ocean_history_dir': 'gfs.20210323/12//model_data/ocean/history', 'ocean_netcdf_dir': 'gfs.20210323/12//products/ocean/netcdf', 'ocean_grib_dir': 'gfs.20210323/12//products/ocean/grib2', 'atmos_wmo_dir': 'gfs.20210323/12//products/atmos/wmo', 'ice_grib_dir': 'gfs.20210323/12//products/ice/grib2'}
2024-06-07 22:32:12,901 - INFO - archive : END: Archive.__init__
2024-06-07 22:32:12,901 - DEBUG - archive : returning: None
2024-06-07 22:32:12,902 - INFO - archive : BEGIN: pygfs.task.archive.configure
2024-06-07 22:32:12,903 - DEBUG - archive : ( <pygfs.task.archive.Archive object at 0x14c64d552650>, {'ATARDIR': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f', 'current_cycle': datetime.datetime(2021, 3, 23, 12, 0), 'FHMIN': 0, 'FHMAX': 9, 'FHOUT': 3, 'RUN': 'gfs', 'PDY': datetime.datetime(2021, 3, 23, 0, 0), 'DO_VERFRAD': True, 'DO_VMINMON': True, 'DO_VERFOZN': True, 'DO_ICE': True, 'DO_AERO': False, 'PARMgfs': '/scratch1/NCEPDEV/global/CI/2663/gfs/parm', 'DO_OCN': True, 'DO_WAVE': True, 'WRITE_DOPOST': True, 'PSLOT': 'C48_S2SW_ccd87d4f', 'HPSSARCH': True, 'DO_MOS': False, 'DO_JEDISNOWDA': False, 'LOCALARCH': False, 'REALTIME': True, 'ROTDIR': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f', 'ARCH_WARMICFREQ': 4, 'ARCH_FCSTICFREQ': 1, 'ARCH_CYC': 0, 'assim_freq': 6, 'ARCDIR': '/scratch1/NCEPDEV/global/Terry.McGuinness/archive/C48_S2SW_ccd87d4f', 'SDATE': datetime.datetime(2021, 3, 23, 12, 0), 'FHMIN_GFS': 0, 'FHMAX_GFS': 120, 'FHOUT_GFS': 3, 'ARCH_GAUSSIAN': True, 'MODE': 'forecast-only', 'FHOUT_OCNICE': 3, 'FHOUT_OCNICE_GFS': 6, 'DO_BUFRSND': False, 'DOHYBVAR': '@DOHYBVAR@', 'ARCH_GAUSSIAN_FHMAX': 120, 'ARCH_GAUSSIAN_FHINC': 3, 'DOIAU': True, 'OCNRES': 500, 'ICERES': 500, 'NUM_SND_COLLECTIVES': 9, 'FHOUT_WAV': 3, 'FHOUT_HF_WAV': 1, 'FHMAX_WAV': 9, 'FHMAX_HF_WAV': 120, 'FHMAX_WAV_GFS': 120, 'restart_interval_gdas': 3, 'restart_interval_gfs': 12, 'AERO_ANL_CDUMP': '', 'AERO_FCST_CDUMP': '', 'DOIBP_WAV': False, 'DO_JEDIOCNVAR': False, 'NMEM_ENS': 0, 'DO_JEDIATMVAR': False, 'DO_VRFY_OCEANDA': False, 'FHMAX_FITS': 120, 'IAUFHRS': 6, 'DO_FIT2OBS': True, 'COM_SNOW_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/snow', 'COM_ATMOS_RADMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/radmon', 'COM_ICE_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/grib2', 'COMINnam': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/nam', 'COM_OCEAN_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ocean/restart', 'COM_RTOFS_TMPL': '${DMPDIR}', 'COM_ATMOS_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/grib2/${GRID}', 'COM_ICE_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/history', 'COM_ATMOS_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history', 'COM_ICE_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/restart', 'COM_ICE_NETCDF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/netcdf', 'COM_OBS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12/obs', 'COM_ATMOS_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/grib2', 'COM_WAVE_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/restart', 'COM_ATMOS_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/restart', 'COM_ATMOS_BUFR_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/bufr', 'COM_WAVE_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/wave/history', 'COM_WAVE_PREP_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/prep', 'COM_CHEM_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/chem/history', 'COM_SNOW_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/snow', 'COM_ATMOS_MINMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/minmon', 'COM_ATMOS_GRIB_0p25': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/0p25', 'COM_WAVE_GEMPAK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/gempak', 'COM_CHEM_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/chem', 'COM_ATMOS_OZNMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/oznmon', 'COM_ATMOS_INPUT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/input', 'COM_ATMOS_GRIB_0p50': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/0p50', 'COM_ICE_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/ice', 'COM_WAVE_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/history', 'COM_ATMOS_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/restart', 'COM_OBS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/obs', 'COM_ATMOS_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/atmos', 'COM_WAVE_WMO_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/wmo', 'COM_ATMOS_MASTER_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/master', 'COM_ATMOS_GENESIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/cyclone/genesis_vital', 'COM_ATMOS_GEMPAK': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/gempak/', 'COM_ICE_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/history', 'COM_CONF': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//conf', 'COM_ATMOS_GRIB_1p00': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/1p00', 'COM_OCEAN_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/restart', 'COM_OBSDMP_TMPL': '${DMPDIR}/${DUMP}${DUMP_SUFFIX}.${YMD}/${HH}/atmos', 'COM_MED_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/med/restart', 'COM_ATMOS_GEMPAK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/gempak/${GRID}', 'COM_TOP': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12', 'COM_TOP_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}', 'COM_WAVE_STATION': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/wave/station', 'COM_OCEAN_NETCDF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/netcdf', 'COMINsyn': '/scratch1/NCEPDEV/global/glopara/com/gfs/prod/syndat', 'COMINukmet': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/ukmet', 'COM_CHEM_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/chem', 'COM_OCEAN_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/ocean', 'COM_ATMOS_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/history', 'COM_ICE_INPUT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/input', 'COM_ATMOS_GOES_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/goes_sim', 'COM_ATMOS_MINMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/minmon', 'COM_OCEAN_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/ocean', 'COM_ATMOS_BUFR': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/bufr', 'COM_ATMOS_IMAGERY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/imagery', 'COM_ATMOS_MASTER': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/master', 'COMROOT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT', 'COM_ATMOS_RADMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/radmon', 'COM_ICE_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/restart', 'COM_WAVE_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/wave/restart', 'COMINecmwf': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/ecmwf', 'COM_ICE_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/input', 'COM_OCEAN_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/grib2/${GRID}', 'COM_WAVE_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/gridded', 'COM_OCEAN_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/input', 'COM_ICE_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/grib2/${GRID}', 'COM_ATMOS_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/input', 'COM_MED_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/med/restart', 'COM_ATMOS_OZNMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/oznmon', 'COM_ATMOS_WMO_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/wmo', 'COM_WAVE_GRID': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/wave/gridded', 'COM_ATMOS_TRACK': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/cyclone/tracks', 'COM_CHEM_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/chem/history', 'COM_OCEAN_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/grib2', 'COM_ATMOS_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/atmos', 'COM_OCEAN_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ocean/history', 'COM_OCEAN_NETCDF': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ocean/netcdf', 'COM_ATMOS_GENESIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/cyclone/genesis_vital', 'COM_WAVE_STATION_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/station', 'COM_OCEAN_GRIB': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ocean/grib2', 'COM_CONF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/conf', 'COM_ATMOS_TRACK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/cyclone/tracks', 'COM_ATMOS_WMO': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/wmo', 'COM_OCEAN_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/history', 'COM_ICE_GRIB': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ice/grib2'} )
2024-06-07 22:32:12,903 - INFO - archive : BEGIN: pygfs.task.archive._rename_cyclone_expt
2024-06-07 22:32:12,903 - DEBUG - archive : ( {'ATARDIR': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f', 'current_cycle': datetime.datetime(2021, 3, 23, 12, 0), 'FHMIN': 0, 'FHMAX': 9, 'FHOUT': 3, 'RUN': 'gfs', 'PDY': datetime.datetime(2021, 3, 23, 0, 0), 'DO_VERFRAD': True, 'DO_VMINMON': True, 'DO_VERFOZN': True, 'DO_ICE': True, 'DO_AERO': False, 'PARMgfs': '/scratch1/NCEPDEV/global/CI/2663/gfs/parm', 'DO_OCN': True, 'DO_WAVE': True, 'WRITE_DOPOST': True, 'PSLOT': 'C48_S2SW_ccd87d4f', 'HPSSARCH': True, 'DO_MOS': False, 'DO_JEDISNOWDA': False, 'LOCALARCH': False, 'REALTIME': True, 'ROTDIR': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f', 'ARCH_WARMICFREQ': 4, 'ARCH_FCSTICFREQ': 1, 'ARCH_CYC': 0, 'assim_freq': 6, 'ARCDIR': '/scratch1/NCEPDEV/global/Terry.McGuinness/archive/C48_S2SW_ccd87d4f', 'SDATE': datetime.datetime(2021, 3, 23, 12, 0), 'FHMIN_GFS': 0, 'FHMAX_GFS': 120, 'FHOUT_GFS': 3, 'ARCH_GAUSSIAN': True, 'MODE': 'forecast-only', 'FHOUT_OCNICE': 3, 'FHOUT_OCNICE_GFS': 6, 'DO_BUFRSND': False, 'DOHYBVAR': '@DOHYBVAR@', 'ARCH_GAUSSIAN_FHMAX': 120, 'ARCH_GAUSSIAN_FHINC': 3, 'DOIAU': True, 'OCNRES': 500, 'ICERES': 500, 'NUM_SND_COLLECTIVES': 9, 'FHOUT_WAV': 3, 'FHOUT_HF_WAV': 1, 'FHMAX_WAV': 9, 'FHMAX_HF_WAV': 120, 'FHMAX_WAV_GFS': 120, 'restart_interval_gdas': 3, 'restart_interval_gfs': 12, 'AERO_ANL_CDUMP': '', 'AERO_FCST_CDUMP': '', 'DOIBP_WAV': False, 'DO_JEDIOCNVAR': False, 'NMEM_ENS': 0, 'DO_JEDIATMVAR': False, 'DO_VRFY_OCEANDA': False, 'FHMAX_FITS': 120, 'IAUFHRS': 6, 'DO_FIT2OBS': True, 'COM_SNOW_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/snow', 'COM_ATMOS_RADMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/radmon', 'COM_ICE_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/grib2', 'COMINnam': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/nam', 'COM_OCEAN_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ocean/restart', 'COM_RTOFS_TMPL': '${DMPDIR}', 'COM_ATMOS_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/grib2/${GRID}', 'COM_ICE_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/history', 'COM_ATMOS_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history', 'COM_ICE_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/restart', 'COM_ICE_NETCDF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/netcdf', 'COM_OBS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12/obs', 'COM_ATMOS_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/grib2', 'COM_WAVE_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/restart', 'COM_ATMOS_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/restart', 'COM_ATMOS_BUFR_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/bufr', 'COM_WAVE_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/wave/history', 'COM_WAVE_PREP_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/prep', 'COM_CHEM_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/chem/history', 'COM_SNOW_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/snow', 'COM_ATMOS_MINMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/minmon', 'COM_ATMOS_GRIB_0p25': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/0p25', 'COM_WAVE_GEMPAK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/gempak', 'COM_CHEM_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/chem', 'COM_ATMOS_OZNMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/oznmon', 'COM_ATMOS_INPUT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/input', 'COM_ATMOS_GRIB_0p50': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/0p50', 'COM_ICE_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/ice', 'COM_WAVE_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/history', 'COM_ATMOS_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/restart', 'COM_OBS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/obs', 'COM_ATMOS_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/atmos', 'COM_WAVE_WMO_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/wmo', 'COM_ATMOS_MASTER_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/master', 'COM_ATMOS_GENESIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/cyclone/genesis_vital', 'COM_ATMOS_GEMPAK': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/gempak/', 'COM_ICE_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/history', 'COM_CONF': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//conf', 'COM_ATMOS_GRIB_1p00': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/1p00', 'COM_OCEAN_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/restart', 'COM_OBSDMP_TMPL': '${DMPDIR}/${DUMP}${DUMP_SUFFIX}.${YMD}/${HH}/atmos', 'COM_MED_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/med/restart', 'COM_ATMOS_GEMPAK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/gempak/${GRID}', 'COM_TOP': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12', 'COM_TOP_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}', 'COM_WAVE_STATION': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/wave/station', 'COM_OCEAN_NETCDF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/netcdf', 'COMINsyn': '/scratch1/NCEPDEV/global/glopara/com/gfs/prod/syndat', 'COMINukmet': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/ukmet', 'COM_CHEM_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/chem', 'COM_OCEAN_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/ocean', 'COM_ATMOS_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/history', 'COM_ICE_INPUT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/input', 'COM_ATMOS_GOES_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/goes_sim', 'COM_ATMOS_MINMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/minmon', 'COM_OCEAN_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/ocean', 'COM_ATMOS_BUFR': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/bufr', 'COM_ATMOS_IMAGERY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/imagery', 'COM_ATMOS_MASTER': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/master', 'COMROOT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT', 'COM_ATMOS_RADMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/radmon', 'COM_ICE_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/restart', 'COM_WAVE_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/wave/restart', 'COMINecmwf': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/ecmwf', 'COM_ICE_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/input', 'COM_OCEAN_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/grib2/${GRID}', 'COM_WAVE_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/gridded', 'COM_OCEAN_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/input', 'COM_ICE_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/grib2/${GRID}', 'COM_ATMOS_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/input', 'COM_MED_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/med/restart', 'COM_ATMOS_OZNMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/oznmon', 'COM_ATMOS_WMO_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/wmo', 'COM_WAVE_GRID': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/wave/gridded', 'COM_ATMOS_TRACK': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/cyclone/tracks', 'COM_CHEM_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/chem/history', 'COM_OCEAN_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/grib2', 'COM_ATMOS_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/atmos', 'COM_OCEAN_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ocean/history', 'COM_OCEAN_NETCDF': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ocean/netcdf', 'COM_ATMOS_GENESIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/cyclone/genesis_vital', 'COM_WAVE_STATION_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/station', 'COM_OCEAN_GRIB': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ocean/grib2', 'COM_CONF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/conf', 'COM_ATMOS_TRACK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/cyclone/tracks', 'COM_ATMOS_WMO': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/wmo', 'COM_OCEAN_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/history', 'COM_ICE_GRIB': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ice/grib2'} )
2024-06-07 22:32:12,904 - INFO - archive : END: pygfs.task.archive._rename_cyclone_expt
2024-06-07 22:32:12,904 - DEBUG - archive : returning: None
2024-06-07 22:32:12,904 - INFO - archive : BEGIN: pygfs.task.archive._construct_arcdir_set
2024-06-07 22:32:12,904 - DEBUG - archive : ( '/scratch1/NCEPDEV/global/CI/2663/gfs/parm/archive/arcdir.yaml.j2', {'ATARDIR': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f', 'current_cycle': datetime.datetime(2021, 3, 23, 12, 0), 'FHMIN': 0, 'FHMAX': 9, 'FHOUT': 3, 'RUN': 'gfs', 'PDY': datetime.datetime(2021, 3, 23, 0, 0), 'DO_VERFRAD': True, 'DO_VMINMON': True, 'DO_VERFOZN': True, 'DO_ICE': True, 'DO_AERO': False, 'PARMgfs': '/scratch1/NCEPDEV/global/CI/2663/gfs/parm', 'DO_OCN': True, 'DO_WAVE': True, 'WRITE_DOPOST': True, 'PSLOT': 'C48_S2SW_ccd87d4f', 'HPSSARCH': True, 'DO_MOS': False, 'DO_JEDISNOWDA': False, 'LOCALARCH': False, 'REALTIME': True, 'ROTDIR': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f', 'ARCH_WARMICFREQ': 4, 'ARCH_FCSTICFREQ': 1, 'ARCH_CYC': 0, 'assim_freq': 6, 'ARCDIR': '/scratch1/NCEPDEV/global/Terry.McGuinness/archive/C48_S2SW_ccd87d4f', 'SDATE': datetime.datetime(2021, 3, 23, 12, 0), 'FHMIN_GFS': 0, 'FHMAX_GFS': 120, 'FHOUT_GFS': 3, 'ARCH_GAUSSIAN': True, 'MODE': 'forecast-only', 'FHOUT_OCNICE': 3, 'FHOUT_OCNICE_GFS': 6, 'DO_BUFRSND': False, 'DOHYBVAR': '@DOHYBVAR@', 'ARCH_GAUSSIAN_FHMAX': 120, 'ARCH_GAUSSIAN_FHINC': 3, 'DOIAU': True, 'OCNRES': 500, 'ICERES': 500, 'NUM_SND_COLLECTIVES': 9, 'FHOUT_WAV': 3, 'FHOUT_HF_WAV': 1, 'FHMAX_WAV': 9, 'FHMAX_HF_WAV': 120, 'FHMAX_WAV_GFS': 120, 'restart_interval_gdas': 3, 'restart_interval_gfs': 12, 'AERO_ANL_CDUMP': '', 'AERO_FCST_CDUMP': '', 'DOIBP_WAV': False, 'DO_JEDIOCNVAR': False, 'NMEM_ENS': 0, 'DO_JEDIATMVAR': False, 'DO_VRFY_OCEANDA': False, 'FHMAX_FITS': 120, 'IAUFHRS': 6, 'DO_FIT2OBS': True, 'COM_SNOW_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/snow', 'COM_ATMOS_RADMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/radmon', 'COM_ICE_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/grib2', 'COMINnam': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/nam', 'COM_OCEAN_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ocean/restart', 'COM_RTOFS_TMPL': '${DMPDIR}', 'COM_ATMOS_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/grib2/${GRID}', 'COM_ICE_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/history', 'COM_ATMOS_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history', 'COM_ICE_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/restart', 'COM_ICE_NETCDF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/netcdf', 'COM_OBS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12/obs', 'COM_ATMOS_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/grib2', 'COM_WAVE_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/restart', 'COM_ATMOS_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/restart', 'COM_ATMOS_BUFR_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/bufr', 'COM_WAVE_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/wave/history', 'COM_WAVE_PREP_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/prep', 'COM_CHEM_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/chem/history', 'COM_SNOW_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/snow', 'COM_ATMOS_MINMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/minmon', 'COM_ATMOS_GRIB_0p25': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/0p25', 'COM_WAVE_GEMPAK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/gempak', 'COM_CHEM_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/chem', 'COM_ATMOS_OZNMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/oznmon', 'COM_ATMOS_INPUT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/input', 'COM_ATMOS_GRIB_0p50': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/0p50', 'COM_ICE_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/ice', 'COM_WAVE_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/history', 'COM_ATMOS_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/restart', 'COM_OBS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/obs', 'COM_ATMOS_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/atmos', 'COM_WAVE_WMO_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/wmo', 'COM_ATMOS_MASTER_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/master', 'COM_ATMOS_GENESIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/cyclone/genesis_vital', 'COM_ATMOS_GEMPAK': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/gempak/', 'COM_ICE_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/history', 'COM_CONF': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//conf', 'COM_ATMOS_GRIB_1p00': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/1p00', 'COM_OCEAN_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/restart', 'COM_OBSDMP_TMPL': '${DMPDIR}/${DUMP}${DUMP_SUFFIX}.${YMD}/${HH}/atmos', 'COM_MED_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/med/restart', 'COM_ATMOS_GEMPAK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/gempak/${GRID}', 'COM_TOP': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12', 'COM_TOP_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}', 'COM_WAVE_STATION': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/wave/station', 'COM_OCEAN_NETCDF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/netcdf', 'COMINsyn': '/scratch1/NCEPDEV/global/glopara/com/gfs/prod/syndat', 'COMINukmet': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/ukmet', 'COM_CHEM_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/chem', 'COM_OCEAN_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/ocean', 'COM_ATMOS_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/history', 'COM_ICE_INPUT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/input', 'COM_ATMOS_GOES_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/goes_sim', 'COM_ATMOS_MINMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/minmon', 'COM_OCEAN_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/ocean', 'COM_ATMOS_BUFR': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/bufr', 'COM_ATMOS_IMAGERY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/imagery', 'COM_ATMOS_MASTER': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/master', 'COMROOT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT', 'COM_ATMOS_RADMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/radmon', 'COM_ICE_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/restart', 'COM_WAVE_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/wave/restart', 'COMINecmwf': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/ecmwf', 'COM_ICE_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/input', 'COM_OCEAN_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/grib2/${GRID}', 'COM_WAVE_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/gridded', 'COM_OCEAN_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/input', 'COM_ICE_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/grib2/${GRID}', 'COM_ATMOS_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/input', 'COM_MED_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/med/restart', 'COM_ATMOS_OZNMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/oznmon', 'COM_ATMOS_WMO_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/wmo', 'COM_WAVE_GRID': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/wave/gridded', 'COM_ATMOS_TRACK': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/cyclone/tracks', 'COM_CHEM_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/chem/history', 'COM_OCEAN_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/grib2', 'COM_ATMOS_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/atmos', 'COM_OCEAN_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ocean/history', 'COM_OCEAN_NETCDF': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ocean/netcdf', 'COM_ATMOS_GENESIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/cyclone/genesis_vital', 'COM_WAVE_STATION_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/station', 'COM_OCEAN_GRIB': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ocean/grib2', 'COM_CONF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/conf', 'COM_ATMOS_TRACK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/cyclone/tracks', 'COM_ATMOS_WMO': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/wmo', 'COM_OCEAN_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/history', 'COM_ICE_GRIB': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ice/grib2', 'glob': <function glob at 0x14c664bd5260>, 'path_exists': <function exists at 0x14c664bab7e0>} )
2024-06-07 22:32:12,970 - INFO - archive : END: pygfs.task.archive._construct_arcdir_set
2024-06-07 22:32:12,970 - DEBUG - archive : returning: {'mkdir': ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12'], 'copy': [['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf000.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf000.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf000.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf000.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf006.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf006.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf006.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf006.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf012.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf012.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf012.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf012.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf018.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf018.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf018.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf018.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf024.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf024.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf024.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf024.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf030.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf030.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf030.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf030.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf036.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf036.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf036.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf036.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf042.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf042.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf042.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf042.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf048.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf048.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf048.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf048.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf054.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf054.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf054.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf054.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf060.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf060.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf060.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf060.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf066.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf066.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf066.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf066.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf072.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf072.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf072.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf072.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf078.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf078.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf078.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf078.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf084.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf084.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf084.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf084.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf090.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf090.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf090.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf090.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf096.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf096.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf096.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf096.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf102.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf102.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf102.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf102.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf108.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf108.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf108.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf108.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf114.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf114.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf114.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf114.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.sfcf120.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.sfcf120.nc'], ['/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history//gfs.t12z.atmf120.nc', '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/vrfyarch/gfs.20210323/12//gfs.t12z.atmf120.nc']]}
2024-06-07 22:32:12,971 - INFO - archive : BEGIN: pygfs.task.archive._rename_cyclone_expt
2024-06-07 22:32:12,971 - DEBUG - archive : ( {'ATARDIR': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f', 'current_cycle': datetime.datetime(2021, 3, 23, 12, 0), 'FHMIN': 0, 'FHMAX': 9, 'FHOUT': 3, 'RUN': 'gfs', 'PDY': datetime.datetime(2021, 3, 23, 0, 0), 'DO_VERFRAD': True, 'DO_VMINMON': True, 'DO_VERFOZN': True, 'DO_ICE': True, 'DO_AERO': False, 'PARMgfs': '/scratch1/NCEPDEV/global/CI/2663/gfs/parm', 'DO_OCN': True, 'DO_WAVE': True, 'WRITE_DOPOST': True, 'PSLOT': 'C48_S2SW_ccd87d4f', 'HPSSARCH': True, 'DO_MOS': False, 'DO_JEDISNOWDA': False, 'LOCALARCH': False, 'REALTIME': True, 'ROTDIR': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f', 'ARCH_WARMICFREQ': 4, 'ARCH_FCSTICFREQ': 1, 'ARCH_CYC': 0, 'assim_freq': 6, 'ARCDIR': '/scratch1/NCEPDEV/global/Terry.McGuinness/archive/C48_S2SW_ccd87d4f', 'SDATE': datetime.datetime(2021, 3, 23, 12, 0), 'FHMIN_GFS': 0, 'FHMAX_GFS': 120, 'FHOUT_GFS': 3, 'ARCH_GAUSSIAN': True, 'MODE': 'forecast-only', 'FHOUT_OCNICE': 3, 'FHOUT_OCNICE_GFS': 6, 'DO_BUFRSND': False, 'DOHYBVAR': '@DOHYBVAR@', 'ARCH_GAUSSIAN_FHMAX': 120, 'ARCH_GAUSSIAN_FHINC': 3, 'DOIAU': True, 'OCNRES': 500, 'ICERES': 500, 'NUM_SND_COLLECTIVES': 9, 'FHOUT_WAV': 3, 'FHOUT_HF_WAV': 1, 'FHMAX_WAV': 9, 'FHMAX_HF_WAV': 120, 'FHMAX_WAV_GFS': 120, 'restart_interval_gdas': 3, 'restart_interval_gfs': 12, 'AERO_ANL_CDUMP': '', 'AERO_FCST_CDUMP': '', 'DOIBP_WAV': False, 'DO_JEDIOCNVAR': False, 'NMEM_ENS': 0, 'DO_JEDIATMVAR': False, 'DO_VRFY_OCEANDA': False, 'FHMAX_FITS': 120, 'IAUFHRS': 6, 'DO_FIT2OBS': True, 'COM_SNOW_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/snow', 'COM_ATMOS_RADMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/radmon', 'COM_ICE_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/grib2', 'COMINnam': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/nam', 'COM_OCEAN_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ocean/restart', 'COM_RTOFS_TMPL': '${DMPDIR}', 'COM_ATMOS_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/grib2/${GRID}', 'COM_ICE_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/history', 'COM_ATMOS_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/history', 'COM_ICE_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/restart', 'COM_ICE_NETCDF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/netcdf', 'COM_OBS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12/obs', 'COM_ATMOS_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/grib2', 'COM_WAVE_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/restart', 'COM_ATMOS_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/restart', 'COM_ATMOS_BUFR_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/bufr', 'COM_WAVE_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/wave/history', 'COM_WAVE_PREP_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/prep', 'COM_CHEM_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/chem/history', 'COM_SNOW_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/snow', 'COM_ATMOS_MINMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/minmon', 'COM_ATMOS_GRIB_0p25': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/0p25', 'COM_WAVE_GEMPAK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/gempak', 'COM_CHEM_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/chem', 'COM_ATMOS_OZNMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/oznmon', 'COM_ATMOS_INPUT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/input', 'COM_ATMOS_GRIB_0p50': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/0p50', 'COM_ICE_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/ice', 'COM_WAVE_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/wave/history', 'COM_ATMOS_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/restart', 'COM_OBS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/obs', 'COM_ATMOS_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/atmos', 'COM_WAVE_WMO_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/wmo', 'COM_ATMOS_MASTER_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/master', 'COM_ATMOS_GENESIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/cyclone/genesis_vital', 'COM_ATMOS_GEMPAK': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/gempak/', 'COM_ICE_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/history', 'COM_CONF': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//conf', 'COM_ATMOS_GRIB_1p00': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/grib2/1p00', 'COM_OCEAN_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/restart', 'COM_OBSDMP_TMPL': '${DMPDIR}/${DUMP}${DUMP_SUFFIX}.${YMD}/${HH}/atmos', 'COM_MED_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/med/restart', 'COM_ATMOS_GEMPAK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/gempak/${GRID}', 'COM_TOP': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12', 'COM_TOP_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}', 'COM_WAVE_STATION': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/wave/station', 'COM_OCEAN_NETCDF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/netcdf', 'COMINsyn': '/scratch1/NCEPDEV/global/glopara/com/gfs/prod/syndat', 'COMINukmet': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/ukmet', 'COM_CHEM_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/chem', 'COM_OCEAN_ANALYSIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/analysis/ocean', 'COM_ATMOS_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/history', 'COM_ICE_INPUT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/input', 'COM_ATMOS_GOES_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/goes_sim', 'COM_ATMOS_MINMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/minmon', 'COM_OCEAN_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/ocean', 'COM_ATMOS_BUFR': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/bufr', 'COM_ATMOS_IMAGERY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/imagery', 'COM_ATMOS_MASTER': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/atmos/master', 'COMROOT': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT', 'COM_ATMOS_RADMON': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/radmon', 'COM_ICE_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ice/restart', 'COM_WAVE_RESTART': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/wave/restart', 'COMINecmwf': '/scratch1/NCEPDEV/global/glopara/data/external_gempak/ecmwf', 'COM_ICE_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ice/input', 'COM_OCEAN_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/grib2/${GRID}', 'COM_WAVE_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/gridded', 'COM_OCEAN_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/input', 'COM_ICE_GRIB_GRID_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ice/grib2/${GRID}', 'COM_ATMOS_INPUT_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/atmos/input', 'COM_MED_RESTART_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/med/restart', 'COM_ATMOS_OZNMON_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/oznmon', 'COM_ATMOS_WMO_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/wmo', 'COM_WAVE_GRID': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/wave/gridded', 'COM_ATMOS_TRACK': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/cyclone/tracks', 'COM_CHEM_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/chem/history', 'COM_OCEAN_GRIB_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/ocean/grib2', 'COM_ATMOS_ANALYSIS': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//analysis/atmos', 'COM_OCEAN_HISTORY': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//model_data/ocean/history', 'COM_OCEAN_NETCDF': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ocean/netcdf', 'COM_ATMOS_GENESIS_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/cyclone/genesis_vital', 'COM_WAVE_STATION_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/wave/station', 'COM_OCEAN_GRIB': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ocean/grib2', 'COM_CONF_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/conf', 'COM_ATMOS_TRACK_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/products/atmos/cyclone/tracks', 'COM_ATMOS_WMO': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/atmos/wmo', 'COM_OCEAN_HISTORY_TMPL': '${ROTDIR}/${RUN}.${YMD}/${HH}/${MEMDIR}/model_data/ocean/history', 'COM_ICE_GRIB': '/scratch1/NCEPDEV/global/CI/2663/RUNTESTS/COMROOT/C48_S2SW_ccd87d4f/gfs.20210323/12//products/ice/grib2', 'glob': <function glob at 0x14c664bd5260>, 'path_exists': <function exists at 0x14c664bab7e0>} )
2024-06-07 22:32:12,971 - INFO - archive : END: pygfs.task.archive._rename_cyclone_expt
2024-06-07 22:32:12,971 - DEBUG - archive : returning: None
2024-06-07 22:32:13,220 - INFO - archive : BEGIN: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,221 - DEBUG - archive : ( {'name': 'GFSA', 'target': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f/2021032312/gfsa.tar', 'required': ['logs/2021032312/gfsatmos_prod_f018-f024.log', 'logs/2021032312/gfstracker.log', 'logs/2021032312/gfsatmos_prod_f045-f051.log', 'logs/2021032312/gfsocean_prod_f042-f054.log', 'logs/2021032312/gfswavepostsbs.log', 'logs/2021032312/gfswaveinit.log', 'logs/2021032312/gfsice_prod_f114-f120.log', 'logs/2021032312/gfsice_prod_f006-f018.log', 'logs/2021032312/gfsatmos_prod_f009-f015.log', 'logs/2021032312/gfsatmos_prod_f036-f042.log', 'logs/2021032312/gfsocean_prod_f096-f108.log', 'logs/2021032312/gfsatmos_prod_f027-f033.log', 'logs/2021032312/gfsatmos_prod_f117-f120.log', 'logs/2021032312/gfsice_prod_f096-f108.log', 'logs/2021032312/gfsatmos_prod_f072-f078.log', 'logs/2021032312/gfsatmos_prod_f063-f069.log', 'logs/2021032312/gfsatmos_prod_f081-f087.log', 'logs/2021032312/gfsatmos_prod_f108-f114.log', 'logs/2021032312/gfsfcst.log', 'logs/2021032312/gfsocean_prod_f024-f036.log', 'logs/2021032312/gfsice_prod_f024-f036.log', 'logs/2021032312/gfsocean_prod_f078-f090.log', 'logs/2021032312/gfsatmos_prod_f000-f006.log', 'logs/2021032312/gfswavepostpnt.log', 'logs/2021032312/gfsgenesis.log', 'logs/2021032312/gfsstage_ic.log', 'logs/2021032312/gfsatmos_prod_f099-f105.log', 'logs/2021032312/gfsocean_prod_f114-f120.log', 'logs/2021032312/gfsatmos_prod_f090-f096.log', 'logs/2021032312/gfsatmos_prod_f054-f060.log', 'logs/2021032312/gfsice_prod_f042-f054.log', 'logs/2021032312/gfsocean_prod_f060-f072.log', 'logs/2021032312/gfsice_prod_f078-f090.log', 'logs/2021032312/gfsice_prod_f060-f072.log', 'logs/2021032312/gfsocean_prod_f006-f018.log', 'gfs.20210323/12/conf/ufs.input.nml', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f000', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f000.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf000.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f003', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f003.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf003.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f006', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f006.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf006.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f009', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f009.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf009.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f012', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f012.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf012.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f015', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f015.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf015.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f018', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f018.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf018.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f021', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f021.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf021.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f024', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f024.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf024.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f027', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f027.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf027.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f030', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f030.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf030.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f033', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f033.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf033.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f036', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f036.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf036.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f039', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f039.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf039.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f042', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f042.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf042.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f045', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f045.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf045.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f048', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f048.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf048.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f051', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f051.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf051.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f054', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f054.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf054.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f057', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f057.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf057.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f060', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f060.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf060.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f063', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f063.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf063.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f066', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f066.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf066.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f069', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f069.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf069.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f072', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f072.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf072.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f075', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f075.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf075.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f078', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f078.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf078.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f081', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f081.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf081.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f084', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f084.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf084.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f087', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f087.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf087.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f090', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f090.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf090.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f093', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f093.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf093.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f096', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f096.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf096.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f099', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f099.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf099.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f102', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f102.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf102.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f105', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f105.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf105.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f108', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f108.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf108.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f111', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f111.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf111.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f114', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f114.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf114.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f117', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f117.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf117.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f120', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f120.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf120.txt'], 'optional': ['gfs.20210323/12/products/atmos/cyclone/tracks/avno.t12z.cyclone.trackatcfunix', 'gfs.20210323/12/products/atmos/cyclone/tracks/avnop.t12z.cyclone.trackatcfunix', 'gfs.20210323/12/products/atmos/cyclone/genesis_vital/trak.gfso.atcfunix.2021032312', 'gfs.20210323/12/products/atmos/cyclone/genesis_vital/trak.gfso.atcfunix.altg.2021032312', 'gfs.20210323/12/products/atmos/cyclone/genesis_vital/storms.gfso.atcf_gen.2021032312', 'gfs.20210323/12/products/atmos/cyclone/genesis_vital/storms.gfso.atcf_gen.altg.2021032312']} )
2024-06-07 22:32:13,277 - WARNING - archive : WARNING: optional file/glob gfs.20210323/12/products/atmos/cyclone/genesis_vital/trak.gfso.atcfunix.2021032312 not found!
2024-06-07 22:32:13,277 - WARNING - archive : WARNING: optional file/glob gfs.20210323/12/products/atmos/cyclone/genesis_vital/storms.gfso.atcf_gen.2021032312 not found!
2024-06-07 22:32:13,278 - INFO - archive : END: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,278 - DEBUG - archive : returning: ['logs/2021032312/gfsatmos_prod_f018-f024.log', 'logs/2021032312/gfstracker.log', 'logs/2021032312/gfsatmos_prod_f045-f051.log', 'logs/2021032312/gfsocean_prod_f042-f054.log', 'logs/2021032312/gfswavepostsbs.log', 'logs/2021032312/gfswaveinit.log', 'logs/2021032312/gfsice_prod_f114-f120.log', 'logs/2021032312/gfsice_prod_f006-f018.log', 'logs/2021032312/gfsatmos_prod_f009-f015.log', 'logs/2021032312/gfsatmos_prod_f036-f042.log', 'logs/2021032312/gfsocean_prod_f096-f108.log', 'logs/2021032312/gfsatmos_prod_f027-f033.log', 'logs/2021032312/gfsatmos_prod_f117-f120.log', 'logs/2021032312/gfsice_prod_f096-f108.log', 'logs/2021032312/gfsatmos_prod_f072-f078.log', 'logs/2021032312/gfsatmos_prod_f063-f069.log', 'logs/2021032312/gfsatmos_prod_f081-f087.log', 'logs/2021032312/gfsatmos_prod_f108-f114.log', 'logs/2021032312/gfsfcst.log', 'logs/2021032312/gfsocean_prod_f024-f036.log', 'logs/2021032312/gfsice_prod_f024-f036.log', 'logs/2021032312/gfsocean_prod_f078-f090.log', 'logs/2021032312/gfsatmos_prod_f000-f006.log', 'logs/2021032312/gfswavepostpnt.log', 'logs/2021032312/gfsgenesis.log', 'logs/2021032312/gfsstage_ic.log', 'logs/2021032312/gfsatmos_prod_f099-f105.log', 'logs/2021032312/gfsocean_prod_f114-f120.log', 'logs/2021032312/gfsatmos_prod_f090-f096.log', 'logs/2021032312/gfsatmos_prod_f054-f060.log', 'logs/2021032312/gfsice_prod_f042-f054.log', 'logs/2021032312/gfsocean_prod_f060-f072.log', 'logs/2021032312/gfsice_prod_f078-f090.log', 'logs/2021032312/gfsice_prod_f060-f072.log', 'logs/2021032312/gfsocean_prod_f006-f018.log', 'gfs.20210323/12/conf/ufs.input.nml', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f000', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f000.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf000.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f003', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f003.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf003.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f006', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f006.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf006.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f009', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f009.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf009.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f012', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f012.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf012.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f015', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f015.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf015.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f018', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f018.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf018.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f021', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f021.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf021.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f024', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f024.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf024.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f027', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f027.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf027.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f030', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f030.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf030.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f033', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f033.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf033.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f036', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f036.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf036.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f039', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f039.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf039.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f042', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f042.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf042.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f045', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f045.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf045.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f048', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f048.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf048.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f051', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f051.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf051.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f054', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f054.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf054.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f057', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f057.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf057.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f060', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f060.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf060.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f063', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f063.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf063.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f066', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f066.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf066.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f069', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f069.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf069.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f072', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f072.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf072.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f075', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f075.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf075.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f078', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f078.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf078.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f081', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f081.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf081.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f084', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f084.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf084.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f087', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f087.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf087.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f090', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f090.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf090.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f093', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f093.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf093.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f096', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f096.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf096.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f099', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f099.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf099.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f102', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f102.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf102.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f105', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f105.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf105.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f108', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f108.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf108.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f111', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f111.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf111.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f114', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f114.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf114.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f117', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f117.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf117.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f120', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f120.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf120.txt', 'gfs.20210323/12/products/atmos/cyclone/tracks/avno.t12z.cyclone.trackatcfunix', 'gfs.20210323/12/products/atmos/cyclone/tracks/avnop.t12z.cyclone.trackatcfunix', 'gfs.20210323/12/products/atmos/cyclone/genesis_vital/trak.gfso.atcfunix.altg.2021032312', 'gfs.20210323/12/products/atmos/cyclone/genesis_vital/storms.gfso.atcf_gen.altg.2021032312']
2024-06-07 22:32:13,278 - INFO - archive : BEGIN: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,278 - DEBUG - archive : ( ['logs/2021032312/gfsatmos_prod_f018-f024.log', 'logs/2021032312/gfstracker.log', 'logs/2021032312/gfsatmos_prod_f045-f051.log', 'logs/2021032312/gfsocean_prod_f042-f054.log', 'logs/2021032312/gfswavepostsbs.log', 'logs/2021032312/gfswaveinit.log', 'logs/2021032312/gfsice_prod_f114-f120.log', 'logs/2021032312/gfsice_prod_f006-f018.log', 'logs/2021032312/gfsatmos_prod_f009-f015.log', 'logs/2021032312/gfsatmos_prod_f036-f042.log', 'logs/2021032312/gfsocean_prod_f096-f108.log', 'logs/2021032312/gfsatmos_prod_f027-f033.log', 'logs/2021032312/gfsatmos_prod_f117-f120.log', 'logs/2021032312/gfsice_prod_f096-f108.log', 'logs/2021032312/gfsatmos_prod_f072-f078.log', 'logs/2021032312/gfsatmos_prod_f063-f069.log', 'logs/2021032312/gfsatmos_prod_f081-f087.log', 'logs/2021032312/gfsatmos_prod_f108-f114.log', 'logs/2021032312/gfsfcst.log', 'logs/2021032312/gfsocean_prod_f024-f036.log', 'logs/2021032312/gfsice_prod_f024-f036.log', 'logs/2021032312/gfsocean_prod_f078-f090.log', 'logs/2021032312/gfsatmos_prod_f000-f006.log', 'logs/2021032312/gfswavepostpnt.log', 'logs/2021032312/gfsgenesis.log', 'logs/2021032312/gfsstage_ic.log', 'logs/2021032312/gfsatmos_prod_f099-f105.log', 'logs/2021032312/gfsocean_prod_f114-f120.log', 'logs/2021032312/gfsatmos_prod_f090-f096.log', 'logs/2021032312/gfsatmos_prod_f054-f060.log', 'logs/2021032312/gfsice_prod_f042-f054.log', 'logs/2021032312/gfsocean_prod_f060-f072.log', 'logs/2021032312/gfsice_prod_f078-f090.log', 'logs/2021032312/gfsice_prod_f060-f072.log', 'logs/2021032312/gfsocean_prod_f006-f018.log', 'gfs.20210323/12/conf/ufs.input.nml', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f000', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f000.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf000.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f003', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f003.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf003.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f006', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f006.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf006.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f009', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f009.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf009.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f012', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f012.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf012.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f015', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f015.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf015.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f018', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f018.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf018.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f021', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f021.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf021.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f024', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f024.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf024.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f027', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f027.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf027.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f030', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f030.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf030.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f033', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f033.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf033.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f036', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f036.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf036.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f039', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f039.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf039.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f042', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f042.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf042.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f045', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f045.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf045.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f048', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f048.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf048.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f051', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f051.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf051.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f054', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f054.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf054.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f057', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f057.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf057.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f060', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f060.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf060.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f063', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f063.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf063.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f066', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f066.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf066.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f069', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f069.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf069.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f072', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f072.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf072.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f075', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f075.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf075.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f078', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f078.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf078.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f081', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f081.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf081.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f084', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f084.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf084.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f087', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f087.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf087.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f090', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f090.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf090.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f093', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f093.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf093.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f096', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f096.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf096.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f099', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f099.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf099.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f102', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f102.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf102.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f105', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f105.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf105.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f108', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f108.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf108.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f111', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f111.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf111.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f114', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f114.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf114.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f117', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f117.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf117.txt', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f120', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2.0p25.f120.idx', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atm.logf120.txt', 'gfs.20210323/12/products/atmos/cyclone/tracks/avno.t12z.cyclone.trackatcfunix', 'gfs.20210323/12/products/atmos/cyclone/tracks/avnop.t12z.cyclone.trackatcfunix', 'gfs.20210323/12/products/atmos/cyclone/genesis_vital/trak.gfso.atcfunix.altg.2021032312', 'gfs.20210323/12/products/atmos/cyclone/genesis_vital/storms.gfso.atcf_gen.altg.2021032312'] )
2024-06-07 22:32:13,319 - INFO - archive : END: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,319 - DEBUG - archive : returning: False
2024-06-07 22:32:13,319 - INFO - archive : BEGIN: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,319 - DEBUG - archive : ( {'name': 'GFSB', 'target': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f/2021032312/gfsb.tar', 'required': ['gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f000', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f000.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f000', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f000.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f003', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f003.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f003', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f003.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f006', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f006.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f006', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f006.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f009', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f009.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f009', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f009.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f012', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f012.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f012', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f012.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f015', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f015.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f015', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f015.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f018', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f018.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f018', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f018.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f021', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f021.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f021', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f021.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f024', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f024.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f024', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f024.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f027', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f027.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f027', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f027.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f030', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f030.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f030', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f030.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f033', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f033.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f033', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f033.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f036', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f036.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f036', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f036.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f039', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f039.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f039', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f039.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f042', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f042.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f042', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f042.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f045', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f045.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f045', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f045.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f048', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f048.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f048', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f048.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f051', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f051.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f051', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f051.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f054', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f054.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f054', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f054.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f057', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f057.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f057', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f057.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f060', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f060.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f060', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f060.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f063', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f063.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f063', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f063.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f066', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f066.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f066', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f066.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f069', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f069.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f069', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f069.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f072', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f072.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f072', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f072.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f075', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f075.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f075', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f075.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f078', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f078.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f078', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f078.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f081', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f081.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f081', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f081.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f084', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f084.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f084', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f084.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f087', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f087.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f087', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f087.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f090', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f090.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f090', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f090.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f093', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f093.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f093', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f093.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f096', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f096.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f096', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f096.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f099', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f099.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f099', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f099.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f102', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f102.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f102', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f102.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f105', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f105.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f105', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f105.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f108', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f108.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f108', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f108.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f111', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f111.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f111', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f111.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f114', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f114.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f114', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f114.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f117', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f117.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f117', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f117.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f120', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f120.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f120', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f120.idx']} )
2024-06-07 22:32:13,374 - INFO - archive : END: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,374 - DEBUG - archive : returning: ['gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f000', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f000.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f000', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f000.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f003', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f003.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f003', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f003.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f006', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f006.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f006', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f006.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f009', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f009.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f009', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f009.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f012', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f012.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f012', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f012.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f015', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f015.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f015', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f015.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f018', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f018.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f018', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f018.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f021', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f021.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f021', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f021.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f024', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f024.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f024', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f024.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f027', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f027.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f027', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f027.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f030', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f030.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f030', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f030.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f033', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f033.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f033', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f033.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f036', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f036.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f036', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f036.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f039', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f039.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f039', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f039.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f042', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f042.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f042', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f042.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f045', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f045.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f045', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f045.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f048', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f048.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f048', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f048.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f051', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f051.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f051', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f051.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f054', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f054.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f054', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f054.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f057', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f057.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f057', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f057.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f060', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f060.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f060', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f060.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f063', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f063.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f063', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f063.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f066', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f066.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f066', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f066.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f069', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f069.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f069', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f069.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f072', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f072.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f072', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f072.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f075', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f075.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f075', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f075.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f078', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f078.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f078', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f078.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f081', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f081.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f081', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f081.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f084', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f084.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f084', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f084.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f087', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f087.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f087', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f087.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f090', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f090.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f090', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f090.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f093', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f093.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f093', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f093.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f096', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f096.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f096', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f096.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f099', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f099.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f099', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f099.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f102', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f102.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f102', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f102.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f105', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f105.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f105', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f105.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f108', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f108.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f108', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f108.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f111', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f111.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f111', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f111.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f114', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f114.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f114', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f114.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f117', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f117.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f117', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f117.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f120', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f120.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f120', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f120.idx']
2024-06-07 22:32:13,374 - INFO - archive : BEGIN: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,374 - DEBUG - archive : ( ['gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f000', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f000.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f000', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f000.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f003', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f003.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f003', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f003.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f006', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f006.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f006', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f006.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f009', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f009.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f009', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f009.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f012', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f012.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f012', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f012.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f015', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f015.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f015', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f015.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f018', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f018.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f018', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f018.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f021', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f021.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f021', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f021.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f024', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f024.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f024', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f024.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f027', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f027.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f027', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f027.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f030', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f030.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f030', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f030.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f033', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f033.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f033', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f033.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f036', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f036.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f036', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f036.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f039', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f039.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f039', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f039.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f042', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f042.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f042', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f042.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f045', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f045.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f045', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f045.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f048', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f048.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f048', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f048.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f051', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f051.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f051', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f051.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f054', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f054.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f054', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f054.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f057', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f057.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f057', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f057.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f060', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f060.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f060', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f060.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f063', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f063.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f063', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f063.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f066', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f066.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f066', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f066.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f069', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f069.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f069', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f069.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f072', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f072.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f072', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f072.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f075', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f075.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f075', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f075.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f078', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f078.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f078', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f078.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f081', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f081.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f081', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f081.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f084', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f084.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f084', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f084.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f087', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f087.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f087', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f087.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f090', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f090.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f090', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f090.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f093', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f093.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f093', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f093.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f096', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f096.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f096', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f096.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f099', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f099.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f099', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f099.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f102', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f102.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f102', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f102.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f105', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f105.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f105', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f105.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f108', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f108.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f108', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f108.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f111', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f111.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f111', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f111.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f114', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f114.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f114', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f114.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f117', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f117.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f117', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f117.idx', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f120', 'gfs.20210323/12/products/atmos/grib2/0p50/gfs.t12z.pgrb2.0p50.f120.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f120', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2.1p00.f120.idx'] )
2024-06-07 22:32:13,382 - INFO - archive : END: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,382 - DEBUG - archive : returning: False
2024-06-07 22:32:13,382 - INFO - archive : BEGIN: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,382 - DEBUG - archive : ( {'name': 'GFS_FLUX', 'target': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f/2021032312/gfs_flux.tar', 'required': ['gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf000.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf000.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf003.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf003.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf006.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf006.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf009.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf009.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf012.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf012.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf015.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf015.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf018.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf018.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf021.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf021.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf024.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf024.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf027.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf027.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf030.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf030.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf033.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf033.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf036.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf036.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf039.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf039.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf042.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf042.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf045.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf045.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf048.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf048.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf051.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf051.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf054.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf054.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf057.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf057.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf060.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf060.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf063.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf063.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf066.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf066.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf069.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf069.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf072.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf072.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf075.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf075.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf078.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf078.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf081.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf081.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf084.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf084.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf087.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf087.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf090.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf090.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf093.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf093.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf096.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf096.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf099.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf099.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf102.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf102.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf105.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf105.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf108.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf108.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf111.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf111.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf114.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf114.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf117.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf117.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf120.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf120.grib2.idx']} )
2024-06-07 22:32:13,402 - INFO - archive : END: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,402 - DEBUG - archive : returning: ['gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf000.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf000.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf003.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf003.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf006.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf006.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf009.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf009.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf012.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf012.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf015.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf015.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf018.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf018.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf021.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf021.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf024.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf024.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf027.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf027.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf030.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf030.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf033.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf033.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf036.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf036.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf039.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf039.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf042.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf042.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf045.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf045.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf048.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf048.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf051.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf051.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf054.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf054.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf057.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf057.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf060.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf060.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf063.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf063.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf066.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf066.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf069.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf069.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf072.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf072.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf075.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf075.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf078.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf078.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf081.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf081.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf084.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf084.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf087.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf087.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf090.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf090.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf093.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf093.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf096.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf096.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf099.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf099.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf102.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf102.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf105.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf105.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf108.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf108.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf111.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf111.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf114.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf114.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf117.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf117.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf120.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf120.grib2.idx']
2024-06-07 22:32:13,402 - INFO - archive : BEGIN: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,402 - DEBUG - archive : ( ['gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf000.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf000.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf003.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf003.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf006.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf006.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf009.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf009.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf012.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf012.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf015.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf015.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf018.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf018.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf021.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf021.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf024.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf024.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf027.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf027.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf030.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf030.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf033.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf033.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf036.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf036.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf039.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf039.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf042.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf042.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf045.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf045.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf048.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf048.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf051.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf051.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf054.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf054.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf057.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf057.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf060.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf060.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf063.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf063.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf066.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf066.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf069.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf069.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf072.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf072.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf075.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf075.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf078.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf078.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf081.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf081.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf084.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf084.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf087.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf087.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf090.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf090.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf093.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf093.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf096.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf096.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf099.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf099.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf102.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf102.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf105.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf105.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf108.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf108.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf111.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf111.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf114.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf114.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf117.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf117.grib2.idx', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf120.grib2', 'gfs.20210323/12/model_data/atmos/master/gfs.t12z.sfluxgrbf120.grib2.idx'] )
2024-06-07 22:32:13,405 - INFO - archive : END: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,405 - DEBUG - archive : returning: False
2024-06-07 22:32:13,405 - INFO - archive : BEGIN: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,405 - DEBUG - archive : ( {'name': 'GFS_NETCDFB', 'target': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f/2021032312/gfs_netcdfb.tar', 'required': ['gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf000.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf000.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf003.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf003.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf006.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf006.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf009.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf009.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf012.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf012.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf015.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf015.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf018.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf018.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf021.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf021.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf024.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf024.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf027.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf027.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf030.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf030.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf033.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf033.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf036.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf036.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf039.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf039.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf042.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf042.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf045.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf045.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf048.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf048.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf051.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf051.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf054.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf054.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf057.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf057.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf060.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf060.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf063.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf063.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf066.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf066.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf069.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf069.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf072.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf072.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf075.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf075.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf078.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf078.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf081.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf081.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf084.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf084.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf087.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf087.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf090.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf090.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf093.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf093.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf096.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf096.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf099.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf099.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf102.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf102.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf105.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf105.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf108.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf108.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf111.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf111.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf114.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf114.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf117.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf117.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf120.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf120.nc']} )
2024-06-07 22:32:13,427 - INFO - archive : END: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,427 - DEBUG - archive : returning: ['gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf000.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf000.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf003.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf003.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf006.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf006.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf009.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf009.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf012.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf012.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf015.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf015.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf018.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf018.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf021.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf021.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf024.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf024.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf027.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf027.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf030.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf030.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf033.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf033.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf036.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf036.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf039.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf039.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf042.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf042.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf045.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf045.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf048.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf048.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf051.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf051.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf054.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf054.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf057.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf057.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf060.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf060.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf063.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf063.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf066.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf066.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf069.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf069.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf072.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf072.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf075.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf075.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf078.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf078.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf081.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf081.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf084.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf084.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf087.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf087.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf090.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf090.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf093.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf093.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf096.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf096.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf099.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf099.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf102.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf102.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf105.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf105.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf108.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf108.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf111.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf111.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf114.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf114.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf117.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf117.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf120.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf120.nc']
2024-06-07 22:32:13,427 - INFO - archive : BEGIN: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,427 - DEBUG - archive : ( ['gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf000.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf000.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf003.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf003.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf006.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf006.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf009.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf009.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf012.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf012.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf015.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf015.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf018.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf018.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf021.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf021.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf024.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf024.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf027.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf027.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf030.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf030.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf033.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf033.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf036.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf036.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf039.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf039.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf042.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf042.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf045.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf045.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf048.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf048.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf051.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf051.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf054.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf054.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf057.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf057.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf060.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf060.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf063.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf063.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf066.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf066.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf069.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf069.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf072.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf072.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf075.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf075.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf078.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf078.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf081.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf081.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf084.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf084.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf087.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf087.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf090.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf090.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf093.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf093.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf096.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf096.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf099.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf099.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf102.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf102.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf105.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf105.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf108.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf108.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf111.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf111.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf114.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf114.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf117.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf117.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.atmf120.nc', 'gfs.20210323/12/model_data/atmos/history/gfs.t12z.sfcf120.nc'] )
2024-06-07 22:32:13,431 - INFO - archive : END: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,431 - DEBUG - archive : returning: False
2024-06-07 22:32:13,432 - INFO - archive : BEGIN: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,432 - DEBUG - archive : ( {'name': 'GFS_PGRB2B', 'target': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f/2021032312/gfs_pgrb2b.tar', 'required': ['gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f000', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f000.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f000', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f000.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f003', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f003.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f003', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f003.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f006', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f006.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f006', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f006.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f009', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f009.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f009', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f009.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f012', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f012.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f012', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f012.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f015', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f015.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f015', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f015.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f018', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f018.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f018', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f018.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f021', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f021.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f021', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f021.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f024', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f024.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f024', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f024.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f027', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f027.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f027', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f027.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f030', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f030.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f030', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f030.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f033', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f033.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f033', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f033.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f036', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f036.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f036', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f036.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f039', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f039.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f039', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f039.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f042', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f042.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f042', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f042.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f045', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f045.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f045', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f045.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f048', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f048.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f048', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f048.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f051', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f051.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f051', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f051.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f054', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f054.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f054', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f054.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f057', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f057.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f057', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f057.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f060', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f060.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f060', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f060.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f063', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f063.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f063', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f063.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f066', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f066.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f066', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f066.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f069', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f069.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f069', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f069.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f072', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f072.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f072', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f072.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f075', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f075.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f075', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f075.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f078', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f078.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f078', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f078.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f081', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f081.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f081', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f081.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f084', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f084.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f084', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f084.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f087', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f087.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f087', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f087.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f090', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f090.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f090', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f090.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f093', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f093.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f093', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f093.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f096', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f096.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f096', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f096.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f099', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f099.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f099', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f099.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f102', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f102.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f102', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f102.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f105', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f105.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f105', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f105.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f108', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f108.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f108', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f108.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f111', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f111.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f111', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f111.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f114', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f114.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f114', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f114.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f117', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f117.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f117', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f117.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f120', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f120.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f120', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f120.idx']} )
2024-06-07 22:32:13,473 - INFO - archive : END: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,474 - DEBUG - archive : returning: ['gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f000', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f000.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f000', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f000.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f003', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f003.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f003', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f003.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f006', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f006.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f006', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f006.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f009', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f009.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f009', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f009.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f012', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f012.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f012', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f012.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f015', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f015.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f015', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f015.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f018', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f018.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f018', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f018.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f021', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f021.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f021', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f021.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f024', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f024.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f024', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f024.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f027', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f027.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f027', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f027.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f030', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f030.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f030', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f030.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f033', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f033.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f033', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f033.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f036', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f036.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f036', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f036.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f039', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f039.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f039', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f039.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f042', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f042.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f042', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f042.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f045', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f045.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f045', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f045.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f048', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f048.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f048', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f048.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f051', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f051.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f051', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f051.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f054', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f054.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f054', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f054.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f057', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f057.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f057', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f057.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f060', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f060.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f060', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f060.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f063', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f063.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f063', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f063.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f066', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f066.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f066', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f066.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f069', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f069.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f069', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f069.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f072', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f072.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f072', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f072.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f075', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f075.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f075', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f075.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f078', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f078.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f078', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f078.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f081', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f081.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f081', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f081.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f084', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f084.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f084', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f084.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f087', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f087.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f087', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f087.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f090', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f090.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f090', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f090.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f093', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f093.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f093', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f093.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f096', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f096.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f096', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f096.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f099', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f099.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f099', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f099.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f102', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f102.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f102', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f102.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f105', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f105.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f105', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f105.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f108', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f108.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f108', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f108.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f111', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f111.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f111', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f111.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f114', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f114.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f114', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f114.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f117', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f117.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f117', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f117.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f120', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f120.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f120', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f120.idx']
2024-06-07 22:32:13,474 - INFO - archive : BEGIN: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,474 - DEBUG - archive : ( ['gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f000', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f000.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f000', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f000.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f003', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f003.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f003', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f003.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f006', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f006.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f006', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f006.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f009', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f009.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f009', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f009.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f012', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f012.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f012', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f012.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f015', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f015.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f015', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f015.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f018', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f018.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f018', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f018.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f021', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f021.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f021', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f021.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f024', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f024.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f024', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f024.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f027', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f027.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f027', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f027.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f030', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f030.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f030', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f030.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f033', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f033.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f033', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f033.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f036', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f036.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f036', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f036.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f039', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f039.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f039', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f039.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f042', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f042.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f042', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f042.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f045', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f045.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f045', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f045.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f048', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f048.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f048', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f048.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f051', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f051.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f051', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f051.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f054', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f054.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f054', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f054.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f057', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f057.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f057', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f057.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f060', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f060.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f060', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f060.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f063', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f063.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f063', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f063.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f066', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f066.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f066', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f066.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f069', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f069.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f069', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f069.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f072', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f072.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f072', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f072.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f075', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f075.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f075', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f075.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f078', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f078.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f078', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f078.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f081', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f081.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f081', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f081.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f084', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f084.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f084', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f084.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f087', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f087.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f087', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f087.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f090', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f090.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f090', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f090.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f093', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f093.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f093', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f093.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f096', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f096.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f096', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f096.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f099', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f099.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f099', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f099.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f102', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f102.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f102', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f102.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f105', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f105.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f105', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f105.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f108', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f108.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f108', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f108.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f111', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f111.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f111', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f111.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f114', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f114.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f114', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f114.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f117', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f117.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f117', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f117.idx', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f120', 'gfs.20210323/12/products/atmos/grib2/0p25/gfs.t12z.pgrb2b.0p25.f120.idx', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f120', 'gfs.20210323/12/products/atmos/grib2/1p00/gfs.t12z.pgrb2b.1p00.f120.idx'] )
2024-06-07 22:32:13,481 - INFO - archive : END: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,481 - DEBUG - archive : returning: False
2024-06-07 22:32:13,481 - INFO - archive : BEGIN: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,481 - DEBUG - archive : ( {'name': 'GFSWAVE', 'target': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f/2021032312/gfswave.tar', 'required': ['gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f000.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f000.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f001.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f001.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f002.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f002.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f003.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f003.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f004.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f004.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f005.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f005.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f006.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f006.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f007.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f007.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f008.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f008.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f009.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f009.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f010.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f010.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f011.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f011.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f012.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f012.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f013.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f013.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f014.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f014.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f015.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f015.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f016.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f016.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f017.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f017.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f018.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f018.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f019.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f019.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f020.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f020.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f021.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f021.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f022.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f022.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f023.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f023.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f024.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f024.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f025.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f025.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f026.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f026.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f027.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f027.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f028.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f028.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f029.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f029.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f030.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f030.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f031.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f031.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f032.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f032.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f033.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f033.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f034.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f034.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f035.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f035.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f036.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f036.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f037.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f037.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f038.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f038.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f039.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f039.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f040.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f040.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f041.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f041.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f042.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f042.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f043.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f043.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f044.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f044.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f045.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f045.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f046.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f046.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f047.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f047.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f048.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f048.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f049.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f049.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f050.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f050.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f051.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f051.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f052.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f052.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f053.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f053.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f054.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f054.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f055.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f055.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f056.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f056.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f057.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f057.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f058.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f058.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f059.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f059.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f060.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f060.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f061.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f061.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f062.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f062.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f063.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f063.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f064.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f064.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f065.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f065.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f066.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f066.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f067.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f067.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f068.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f068.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f069.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f069.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f070.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f070.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f071.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f071.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f072.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f072.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f073.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f073.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f074.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f074.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f075.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f075.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f076.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f076.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f077.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f077.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f078.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f078.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f079.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f079.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f080.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f080.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f081.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f081.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f082.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f082.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f083.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f083.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f084.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f084.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f085.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f085.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f086.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f086.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f087.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f087.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f088.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f088.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f089.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f089.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f090.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f090.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f091.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f091.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f092.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f092.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f093.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f093.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f094.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f094.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f095.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f095.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f096.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f096.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f097.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f097.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f098.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f098.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f099.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f099.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f100.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f100.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f101.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f101.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f102.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f102.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f103.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f103.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f104.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f104.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f105.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f105.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f106.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f106.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f107.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f107.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f108.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f108.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f109.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f109.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f110.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f110.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f111.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f111.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f112.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f112.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f113.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f113.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f114.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f114.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f115.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f115.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f116.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f116.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f117.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f117.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f118.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f118.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f119.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f119.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f120.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.*.*.f120.grib2.idx', 'gfs.20210323/12/products/wave/station/gfswave.t12z.bull_tar', 'gfs.20210323/12/products/wave/station/gfswave.t12z.cbull_tar', 'gfs.20210323/12/products/wave/station/gfswave.t12z.spec_tar.gz']} )
2024-06-07 22:32:13,633 - INFO - archive : END: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,633 - DEBUG - archive : returning: ['gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f000.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f000.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f001.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f001.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f002.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f002.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f003.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f003.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f004.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f004.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f005.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f005.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f006.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f006.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f007.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f007.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f008.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f008.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f009.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f009.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f010.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f010.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f011.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f011.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f012.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f012.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f013.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f013.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f014.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f014.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f015.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f015.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f016.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f016.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f017.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f017.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f018.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f018.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f019.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f019.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f020.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f020.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f021.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f021.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f022.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f022.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f023.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f023.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f024.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f024.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f025.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f025.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f026.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f026.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f027.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f027.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f028.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f028.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f029.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f029.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f030.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f030.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f031.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f031.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f032.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f032.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f033.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f033.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f034.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f034.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f035.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f035.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f036.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f036.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f037.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f037.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f038.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f038.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f039.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f039.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f040.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f040.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f041.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f041.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f042.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f042.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f043.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f043.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f044.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f044.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f045.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f045.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f046.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f046.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f047.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f047.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f048.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f048.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f049.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f049.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f050.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f050.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f051.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f051.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f052.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f052.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f053.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f053.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f054.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f054.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f055.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f055.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f056.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f056.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f057.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f057.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f058.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f058.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f059.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f059.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f060.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f060.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f061.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f061.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f062.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f062.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f063.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f063.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f064.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f064.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f065.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f065.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f066.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f066.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f067.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f067.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f068.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f068.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f069.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f069.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f070.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f070.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f071.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f071.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f072.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f072.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f073.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f073.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f074.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f074.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f075.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f075.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f076.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f076.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f077.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f077.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f078.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f078.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f079.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f079.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f080.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f080.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f081.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f081.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f082.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f082.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f083.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f083.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f084.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f084.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f085.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f085.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f086.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f086.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f087.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f087.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f088.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f088.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f089.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f089.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f090.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f090.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f091.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f091.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f092.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f092.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f093.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f093.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f094.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f094.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f095.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f095.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f096.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f096.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f097.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f097.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f098.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f098.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f099.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f099.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f100.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f100.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f101.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f101.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f102.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f102.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f103.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f103.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f104.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f104.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f105.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f105.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f106.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f106.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f107.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f107.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f108.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f108.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f109.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f109.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f110.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f110.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f111.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f111.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f112.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f112.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f113.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f113.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f114.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f114.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f115.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f115.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f116.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f116.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f117.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f117.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f118.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f118.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f119.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f119.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f120.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f120.grib2.idx', 'gfs.20210323/12/products/wave/station/gfswave.t12z.bull_tar', 'gfs.20210323/12/products/wave/station/gfswave.t12z.cbull_tar', 'gfs.20210323/12/products/wave/station/gfswave.t12z.spec_tar.gz']
2024-06-07 22:32:13,633 - INFO - archive : BEGIN: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,633 - DEBUG - archive : ( ['gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f000.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f000.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f001.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f001.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f002.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f002.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f003.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f003.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f004.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f004.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f005.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f005.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f006.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f006.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f007.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f007.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f008.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f008.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f009.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f009.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f010.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f010.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f011.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f011.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f012.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f012.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f013.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f013.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f014.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f014.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f015.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f015.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f016.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f016.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f017.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f017.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f018.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f018.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f019.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f019.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f020.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f020.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f021.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f021.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f022.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f022.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f023.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f023.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f024.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f024.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f025.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f025.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f026.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f026.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f027.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f027.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f028.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f028.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f029.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f029.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f030.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f030.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f031.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f031.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f032.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f032.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f033.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f033.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f034.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f034.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f035.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f035.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f036.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f036.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f037.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f037.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f038.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f038.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f039.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f039.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f040.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f040.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f041.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f041.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f042.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f042.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f043.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f043.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f044.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f044.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f045.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f045.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f046.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f046.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f047.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f047.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f048.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f048.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f049.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f049.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f050.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f050.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f051.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f051.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f052.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f052.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f053.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f053.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f054.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f054.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f055.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f055.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f056.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f056.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f057.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f057.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f058.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f058.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f059.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f059.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f060.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f060.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f061.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f061.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f062.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f062.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f063.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f063.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f064.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f064.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f065.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f065.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f066.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f066.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f067.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f067.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f068.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f068.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f069.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f069.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f070.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f070.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f071.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f071.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f072.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f072.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f073.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f073.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f074.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f074.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f075.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f075.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f076.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f076.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f077.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f077.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f078.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f078.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f079.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f079.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f080.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f080.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f081.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f081.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f082.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f082.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f083.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f083.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f084.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f084.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f085.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f085.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f086.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f086.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f087.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f087.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f088.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f088.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f089.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f089.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f090.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f090.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f091.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f091.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f092.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f092.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f093.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f093.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f094.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f094.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f095.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f095.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f096.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f096.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f097.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f097.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f098.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f098.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f099.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f099.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f100.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f100.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f101.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f101.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f102.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f102.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f103.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f103.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f104.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f104.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f105.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f105.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f106.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f106.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f107.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f107.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f108.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f108.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f109.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f109.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f110.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f110.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f111.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f111.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f112.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f112.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f113.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f113.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f114.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f114.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f115.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f115.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f116.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f116.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f117.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f117.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f118.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f118.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f119.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f119.grib2.idx', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f120.grib2', 'gfs.20210323/12/products/wave/gridded/gfswave.t12z.global.2p00.f120.grib2.idx', 'gfs.20210323/12/products/wave/station/gfswave.t12z.bull_tar', 'gfs.20210323/12/products/wave/station/gfswave.t12z.cbull_tar', 'gfs.20210323/12/products/wave/station/gfswave.t12z.spec_tar.gz'] )
2024-06-07 22:32:13,702 - INFO - archive : END: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,702 - DEBUG - archive : returning: False
2024-06-07 22:32:13,702 - INFO - archive : BEGIN: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,702 - DEBUG - archive : ( {'name': 'OCEAN_6HRAVG', 'target': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f/2021032312/ocean_6hravg.tar', 'required': ['gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f006.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f012.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f018.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f024.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f030.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f036.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f042.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f048.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f054.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f060.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f066.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f072.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f078.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f084.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f090.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f096.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f102.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f108.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f114.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f120.nc']} )
2024-06-07 22:32:13,707 - INFO - archive : END: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,707 - DEBUG - archive : returning: ['gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f006.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f012.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f018.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f024.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f030.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f036.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f042.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f048.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f054.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f060.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f066.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f072.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f078.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f084.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f090.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f096.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f102.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f108.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f114.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f120.nc']
2024-06-07 22:32:13,708 - INFO - archive : BEGIN: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,708 - DEBUG - archive : ( ['gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f006.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f012.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f018.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f024.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f030.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f036.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f042.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f048.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f054.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f060.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f066.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f072.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f078.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f084.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f090.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f096.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f102.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f108.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f114.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.6hr_avg.f120.nc'] )
2024-06-07 22:32:13,709 - INFO - archive : END: pygfs.task.archive._has_rstprod
2024-06-07 22:32:13,709 - DEBUG - archive : returning: False
2024-06-07 22:32:13,709 - INFO - archive : BEGIN: pygfs.task.archive._create_fileset
2024-06-07 22:32:13,709 - DEBUG - archive : ( {'name': 'OCEAN_DAILY', 'target': '/NCEPDEV/emc-global/1year/Terry.McGuinness/HERA/scratch/C48_S2SW_ccd87d4f/2021032312/ocean_daily.tar', 'required': ['gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.daily.f024.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.daily.f048.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.daily.f072.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.daily.f096.nc', 'gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.daily.f120.nc']} )
Traceback (most recent call last):
File "/scratch1/NCEPDEV/global/CI/2663/gfs/scripts/exglobal_archive.py", line 63, in <module>
main()
File "/scratch1/NCEPDEV/global/CI/2663/gfs/ush/python/wxflow/logger.py", line 266, in wrapper
retval = func(*args, **kwargs)
^^^^^^^^^^^^^^^^^^^^^
File "/scratch1/NCEPDEV/global/CI/2663/gfs/scripts/exglobal_archive.py", line 50, in main
arcdir_set, atardir_sets = archive.configure(archive_dict)
^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
File "/scratch1/NCEPDEV/global/CI/2663/gfs/ush/python/wxflow/logger.py", line 266, in wrapper
retval = func(*args, **kwargs)
^^^^^^^^^^^^^^^^^^^^^
File "/scratch1/NCEPDEV/global/CI/2663/gfs/ush/python/pygfs/task/archive.py", line 129, in configure
dataset["fileset"] = Archive._create_fileset(dataset)
^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
File "/scratch1/NCEPDEV/global/CI/2663/gfs/ush/python/wxflow/logger.py", line 266, in wrapper
retval = func(*args, **kwargs)
^^^^^^^^^^^^^^^^^^^^^
File "/scratch1/NCEPDEV/global/CI/2663/gfs/ush/python/pygfs/task/archive.py", line 210, in _create_fileset
raise FileNotFoundError(f"FATAL ERROR: Required file, directory, or glob {item} not found!")
FileNotFoundError: FATAL ERROR: Required file, directory, or glob gfs.20210323/12/model_data/ocean/history/gfs.ocean.t12z.daily.f024.nc not found!
+ JGLOBAL_ARCHIVE[1]: postamble JGLOBAL_ARCHIVE 1717799513 1
+ preamble.sh[70]: set +x
End JGLOBAL_ARCHIVE at 22:32:14 with error code 1 (time elapsed: 00:00:21)
+ arch.sh[1]: postamble arch.sh 1717799510 1
+ preamble.sh[70]: set +x
End arch.sh at 22:32:14 with error code 1 (time elapsed: 00:00:24)
_______________________________________________________________
Start Epilog on node hfe01 for job 61437950 :: Fri Jun 7 22:32:15 UTC 2024
Job 61437950 finished for user Terry.McGuinness in partition service with exit code 1:0
_______________________________________________________________
End Epilogue Fri Jun 7 22:32:15 UTC 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment