Skip to content

Instantly share code, notes, and snippets.

@esden
Created March 29, 2018 08:02
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save esden/63b786d10c085a590527655c92fa9e14 to your computer and use it in GitHub Desktop.
Save esden/63b786d10c085a590527655c92fa9e14 to your computer and use it in GitHub Desktop.
iCEBreaker-bitsy Yosys PinConstraintFile
# 12 MHz clock
set_io -nowarn CLK 35
# USB
set_io -nowarn USB_DP 43
set_io -nowarn USB_DN 42
set_io -nowarn UPB_DET 38
# LEDs and Button
set_io -nowarn BTN_N 10
set_io -nowarn LEDR_N 11
set_io -nowarn LEDG_N 37
# RGB LED Driver
set_io -nowarn LED_RED_N 39
set_io -nowarn LED_GRN_N 40
set_io -nowarn LED_BLU_N 41
# SPI Flash
set_io -nowarn FLASH_SCK 15
set_io -nowarn FLASH_SSB 16
set_io -nowarn FLASH_IO0 14
set_io -nowarn FLASH_IO1 17
set_io -nowarn FLASH_IO2 12
set_io -nowarn FLASH_IO3 13
# GPIO
set_io -nowarn P02 44
set_io -nowarn P03 45
set_io -nowarn P04 46
set_io -nowarn P05 47
set_io -nowarn P06 48
set_io -nowarn P07 2
set_io -nowarn P08 3
set_io -nowarn P09 4
set_io -nowarn P10 6
set_io -nowarn P11 9
set_io -nowarn P12 13
set_io -nowarn P13 12
set_io -nowarn P17 25
set_io -nowarn P18 26
set_io -nowarn P19 27
set_io -nowarn P20 28
set_io -nowarn P21 31
set_io -nowarn P22 32
set_io -nowarn P23 34
set_io -nowarn P24 36
set_io -nowarn P32 18
set_io -nowarn P34 19
set_io -nowarn P36 20
set_io -nowarn P38 21
set_io -nowarn P40 23
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment