Skip to content

Instantly share code, notes, and snippets.

Created September 3, 2017 19:15
Show Gist options
  • Save anonymous/f1b5362f9fa7fcc8bba8871cd2f227d7 to your computer and use it in GitHub Desktop.
Save anonymous/f1b5362f9fa7fcc8bba8871cd2f227d7 to your computer and use it in GitHub Desktop.
R 2r схема

R 2r схема - Цифроаналоговые преобразователи R-2R



Ссылка на файл: >>>>>> http://File-Portal.ru/R 2r схема/


Резистивные матрицы цифроаналоговых преобразователей
Primary Menu
Резисторные матрицы
Резисторные матрицы
Цифроаналоговые преобразователи R-2R
























Зайчиков и Поваляев — воры Подробности. Совместим подготовку и отдых! Наша группа Вконтакте и мобильные приложения:. На рисунке изображена схема электрической цепи, включающей источник постоянного напряжения U , три резистора сопротивлениями R , 2 R , 3 R и ключ К. Определите, как изменяются при замыкании ключа следующие физические величины: Для каждой величины определите соответствующий характер изменения: Запишите в строку ответов выбранные цифры для каждой физической величины под соответствующими буквами. Цифры в ответе могут повторяться. А При замыкании ключа K ток через резистор R не потечёт, следовательно, общее сопротивление в цепи уменьшится. Поэтому сила тока в цепи и через участок AB в том числе по закону Ома увеличится. Значит, через резистор 2 R сила тока также увеличится. Б После замыкания ключа K всё напряжение источника U стало падать только на участке AB. Следовательно, напряжение между этими точками увеличилось. В Из пункта А заключаем, что общее электрическое сопротивление цепи уменьшилось. Математика Информатика Русский язык Английский язык Немецкий язык Французcкий язык Испанский язык Физика Химия Биология География Обществознание Литература История. Зайчиков и Поваляев — воры Подробности Заглянуть на стройку Б напряжение между точками А и В.


Резисторные матрицы


Причиныи значение предупреждения преступности

Порой надо выдать точный аналоговый сигнал, да еще с быстро менющийся. Раньше я рассматривал как это можно сделать с помощью ШИМ, но у этого метода есть проблема — он очень медленный. В самом деле, чтобы получить один уровнь на ШИМ, нужно, по меньшей мере, тиков таймера. А если надо развернуть функцию в которой отсчетов? Да еще на каждый отсчет по тиков? Это какая же должна быть частота процессора? Да еще надо учесть, что ШИМ требует интегрирующей цепочки с конденсатором. А значит для четкого установления уровня должно пройти еще несколько импульсов, что снижает скорость еще раза в три. Короче, получить что либо быстрей чем 50 ГЦ на ШИМ очень сложно. Я не говорю даже о том, что у ШИМ изза свойств емкостного заряда сильно плывет линейность, так что это приходится учитывать. Тут на помощь приходит параллельный ЦАП. Суть его в том, что каждый его вход имеет свой вес в вольтах, которые потом складываются, образуя сумму на выходе. При этом работает вся конструкция очень быстро. Фактически, скорость тут ограничена только паразитными емкостями между элементами. Опорное напряжение тут последовательно делится на резистивной матрице. Номиналы резисторов должны быть любыми. Главное, чтобы горизонтальные ветви были по номиналу ровно в два раза больше чем вертикальные. А вся резистивная цепь в своей нижней точке садится на землю через резистор равный номиналам в горизонтальных ветвях. Ну и кондерчик для фильтрации дополнительной. Самый старший разряд вверху, самый младший внизу, ближе к земле. Теоретически, разрядность можно повышать практически до бесконечности. На практике же, уже на десятом разряде возникают проблемы из-за сопротивления ключей, что сказывается на точности и , главное, линейности выходных данных. Но в подавляющем большинстве случаев восьми разрядов хватает за глаза. Также не помешает добавить операционный усилитель, включенный повторителем. Чтобы сопротивление нагрузки не вносило искажений. Я поначалу впаял OP07 , но получил облом — у меня отрезало все, что ниже 2. Слишком маленькое напряжение питания. Тут нужен усилитель который может работать в режиме RailRail то есть выдавать на выходе сигнал с амплитудой от плюса до минуса питания, с минимальным отклонением. При добавлении ОУ, надо учитывать тот факт, что почти у всех операционников максимальное выходное напряжение резко заваливается с ростом частоты — параметр Max Output Swing vs Freq. Например, для LM выглядит так:. Я усилитель подходящий в запасах не нашел, поэтому пока так. Благо у осциллографа сопротивление высокое и на выходное напряжение он практически не влияет. Чтобы не подбирать номиналы резисторов я поступил проще — в вертикальную линию впаял по два резистора в параллель. При таком включении резистора его сопротивление уполовинивается. Таким образом, все резисторы у меня одного номинала. Вытравил платку, учел посадочное место под усилитель, а также фильтрующий и блокирующий конденсаторы. Кучу штырей для подключения осциллографов или проводов на другие блоки и завел все это на свою макетку. Первым делом, выдал синус. Синус у меня чисто табличный. Пробовал брать каждое второе значение из таблицы при этом она ужалась до значений — тоже все очень красивое. И лишь когда стал брать чуть ли не каждое 16е значение, то тогда стали заметны лесенки на функции:. И то их можно было сгладить фильтром и опять будет красота. Зато на 8ми мегагерцовой Меге удалось добиться 20кГц синусоидального сигнала: Но синус это не прикольно. В конце концов, его можно и аналоговыми методами получить не сильно напрягаясь. А с ЦАП можно по таблицам задать любую форму. Ну звуковая карта это как бы отдельное устройство со своим процессором, памятью и обрабатывает данные она сама. А тут все было программно на главном процессоре. Я бы хотел спросить у тебя. Мне нужно вывести на динамик звуки нот до, ре, ми, фа, соль, ля, си , как мне их записать в память в двоичном коде 8 бит каждая нота , чтобы потом через преобразователь вывести на динамик? Или же это и есть синусоида, просто разные частоты выставлять и оставить амплитуду? Как с тобой связаться, дай плз свою почту и вк. Амплитуда это громкость, а тебе надо просто генерить синус и менять частоту. Причем сам синус, думаю, даже не обязательно. Достаточно прямоугольника, просто меняешь частоту и все. Тебе проще это сделать не на ЦАП, а тупо на таймере дрыгать ногой по выдержкам. Частоту задавать будешь уставкой таймера, например. Вариантов и способов там много. Звуки можно извлекать быстро-быстро дёргая ОДНОЙ единственной ножкой: В ранних АОН так делали даже синтезатор речи. Ди, а помнишь в конференции как то кидали линк, там часы аналоговые выводили на экран осцилла, там тот же метод, что и сдесь? Сиськи на осциле — это ж надо было догадаться. Кстати весьма полезной будет статья про ОУ, реально как использовать какие нюансы, сомовщзбужение и проч. А то пишут много теории, а связи с практикой — нуль. Помимо паразитных емкостей там есть еще и конденсатор на выходе…. Насчет ШИМ, та вроде как можно повысить частоту,заставив таймер считать не до , а меньше. Режим где WGM00, WGM01, WGM02 равны 1. В этом случае максимальное значение таймера ограничивается OCR0A, а скважность можно ставить через OCR0B. Правда теряется один канал ШИМ и уменьшается разрешающая способность оного. Или я где-то не прав? Смотрел в студии, вроде работает. Ну будет на , а тиков. При этом ты потеряешь в разрешении. И чем больше снижаешь тем больше теряешь в разрешении. Здесь же от разрешения скорость не зависит зависит от глубины таблицы, но это уже не столь критично, как показывает эксперимент. Хороший сайт, хорошая статья. Тем, кто хочет глубже вникнуть в данную тему, советую дополнительно сходить на http: Я вот только что сделал такой же ЦАП. Но у меня он двухканальный. Порт В у tiny разделил на 2 канала по 4 бит. Синус получается нормальный, но когда я просто пробовал сделать пилу, то есть просто инкрементил байт в порту, то верх у пилы получается сильно заваленным. Это особенно выражено на низких частотах. Причем в модели в Proteus тоже есть эта нелинейность, хотя и не так выраженная, как в реальном дивайсе. Может мне кто-нибудь объяснить почему так получается? В ЦАПах использованы резисторы 20к и 10к. ЦАПы нагружены на делители напряжения 20к, чтобы регулировать уровень выходного сигнала. Это пример пилы на 62Гц — http: При частоте дискретизации кГц и битном накопителе фазы шаг перестройки частоты получается 3Гц. Размер таблицы синусов байт. Если сделать битный накопитель использовать 3 регистра , то шаг можно уменьшить до сотых долей герца. Есть куча готовых ЦАПов на любой вкус и цвет…. PS Что-то меня эти конденсаторы на выходе смущают…может попробовать выкинуть их? Есть куча готовых ЦАПов Можно считать, что это тренировочный проект. Это мое первое самостоятельное устройство на МК. Если потом потребуется что-то серьезнее, то сделаю на MAX А пила получается кривая и без кондеров. Тут надо Railrail если с одинарным питанием работаешь. Либо теряешь все низы и все верхи. На дешевых ширпотребных усях очень сильно. Max, DI HALT Спасибо за совет. Из-за своего небесконечного сопротивления делитель влияет на линейность ЦАП. Повторитель с ОУ позволит резко увеличить входное сопротивление делителя. Микросхемы ЦАП и АЦП: Москва, Издательство Энергоатомиздат, Я понимаю что делать ШИМом например синусоиду будет долго, но как этот параллельный ЦАП сделает нам ее быстрее и почему, как он работает, в деталях? ШИМ используют в других случаях: Системы с большим током через нагрузку. ШИМ позволяет ставить в качестве силового элемента ключ транзистор с минимальным падением напряжения и минимальным рассеиванием тепла. Если поставить то же транзистор в рещиме усилителя и плавно регулировать напряжение на входе, то мы будем терять много мощности на самом транзисторе. Системы с небольшой скоростью реакции на импульс.. Дело в том, что в ПАЦП мы выводим просто число в порт, как оно есть, а резистивная матрица мгновенно считаем что паразитных емкостей нет преобразовывает его в напряжение. Представь что у тебя во все регистры занесены нужные значения синусоиды. Вот такая конструкция выдаст в порт весь период за сущие мгновения. Само напряжение складывается из весов разрядов. Самый младший разряд находится дальше всех от выхода и ближе всех к земле. Соответственно его 5 вольт высаживаются на куче резисторов и до выхода доходят считанные крохи. Чем старше разряд, тем он ближе к выходу и тем меньше он ослабляется резисторами так как резисторов на его пути меньше. Соотвественно тем весомей его вклад. ШИМ так не может. Ему надо во-первых прорисовать импульс, на это уйдет как минимум 2 n тактов. Где n разрядность нашего ЦАП, а во-вторых зарядить конденсатор в фильтре, чтобы получить устоявшееся напряжение, а не импульс. На это не помешает пустить еще два три периода ШИМ. Сиськи — это конечно прикольно. А вот хотелось бы взглянуть на пилу. Хотя бы на глаз оценить линейность. Стрелочку нарисовал для оценки линейности. На глаз все идеально. А мне чё-то не нравится.. Да, с линейностью вроде нормально в глобальном плане. Или это огрехи осциллографа? Или это на всех осцилах с широкой полосой так?? Это шум цифрового осциллографа. Можно фильтр наложить, будет получше. Мысли по улучшению схемы без напрягов: Отвязать ФНЧ от выхода ЦАП, так как там все равно ОУ есть — сделать активный фильтр, и он не будет забирать ток от ЦАПа просаживая его. Ничего нового припаивать не придется, просто скоммутировать те же элементы в другом порядке 2. Сделать номиналы сопротивлений побольше — раз в 10 и хорошо отфильтровать питание мк. В оконцовке какой ОУ выбрали? Может просто транзистор всандалить , а в коллектор ему поставить переменное сопротивление — будет амплитуду выходного сигнала регулировать. К примеру КТ — Fmax около мГц, а с буковкой Е коэф. Чем не ВЧ усилок? Хотя лучше бы Rail2Rail найти, но чето не подбирается такой. Тут дело не в коэффициенте передачи и частоте, а в входном сопротивлении. У транза оно невелико. При точности резисторов 0, будет 16бит монотонность, при 0,01 13бит. Зазвучит на порядок лучше старых сигма-дельт, будете удивлены. Пребразования, моя любимая тема! Есть идея на сдвиговых регистрах сэмулировать нормальный DAC. Конечно, можно и купить R2-R DAC, но он стоит 2к и денег пока нет. И работает он очень быстро. Там стоят операционные усилители с разным опорным напряжением, их выход шифруется и подается в МК. Чем больше нужно разрядов тем больше усилителей. Дядьки, на приведенной в статье схеме величина самого нижнего сопротивления LSB должна быть не R а 2R, то есть для номиналов схемы 2k вместо 1k. В противном случае заметно ухудшится монотонность ЦАП-а. Если совместить эту инфу с инфой из твоей статьи про сдвиговый регистр http: Народ , чет я не совсем догнал. Что надо в порт выводить значение от 0 до и все , или всеткаи надо както хитро дергать ножками? Какраз сейчас болею, делать нечего , буду пробовать. Это я так понимаю, можно добавить сюда транзисторы, и изменять опорное напряжение в широких пределах, ограничиваясь только транзисторами?.. Если я прав, может подсказать, как тут стоило бы подключать транзисторы, а то я слишком начинающий в этих делах.. Можно, если полученная точность устроит. Только транзисторы это громоздко. Примени лучше что нибудь вроде буффера 74HC Да нет, я имел в виду использовать не внутренние транзисторы МК, которые большие напряжения не выдерживают, а внешние транзисторы, стоящие еще до сопротивлений… А можно немного подробнее объяснить, как 74HC включается и какие она напряжения через себя может пропускать?.. То есть микросхема работает как набор ключей, замыкающих напряжение до 15 вольт от 5 вольт микроконтроллера? Хотя в принципе, это наверное избыточно будет, я думаю, достоточно будет просто операционник запитать напряжением выше и использовать как усилитель, а не как просто буфер.. А ведь с помощью этой штуки можно, наверное, получить модулированный сигнал. Например, у нас есть два регистра — текущие значения 2х синусоид. Изменятся они будут с разными временными интервалами. Ну и каждый раз мы эти значения перемножаем и выдаем в порт. Для угловой модуляции, я так думаю, нужно менять время выдачи в порт значения второго регистра в зависимости от значения первого. Интересно, какую максимальную мастоту можно получить при этом? В связи с этим у меня назрела мысль: НОЛЬ троичный- физически выводим лог. Матрица будет R-3R-9RR… http: ОУ не могу поставить так как у меня остался только LM а он врятле подойдет. Я тут посчитал посидел. Доброго времени суток, LM конечно ничего.. C этим сложнее, в Харькове спокойно в магазине по цене от 14 до 16 грн за шт. AD и AD , как у Вас не знаю…. Здравствуйте, ребят я извеняюсь, а данной программки смоделированной в протеусе нету случайно? Ребята а как вы делаете таблицы: Каким то софтом пользуетесь, или по функциям вычисляете значение. Я в маткаде делал. Задал вычисление значения в нужном диапазоне, да снормализовал в диапазон от 0 до Я тут задумал сделать музыкальную штучку на МК с этим АЦП. И у операционных усилителей недостаток что они используют не весь диапазон напряжения…. По этому решил ставить звуковой усилитель хотя я ещё не разобрался хороший у них диапазон напряжения, или нет , выбрал ЛМ — он дешёвый, но в нем очень сомневаюсь. Может кто-то уже использовал этот ацп для звукоизвлечения? Поделитесь тогда какой усилитель можно поставить. Через такт оно устаканится на выходе. Не считая подготовку самого значения к выводу. Считаем, что он уже в нужном регистре готов. Ну если подробно то даже так: Переход на начало цикла такта. Вот бы то же самое только на С, моему респекту не было бы предела, а то асм для меня пока темный темный дремучий лес. Это то я как раз и понял, как рассчитать приращение шага для разных частот?? Неа, так тоже плохо. Вблизи ноля скачки напряжения больше, чем на 1. Надо длину таблицы увеличить до В моей таблице, да и у DI тоже, значения синусов в окрестности 0 меняются плавно всего лишь на 1. Хотя это и не критерий, как мне видеться! И это при том, что таблица от 0 до надо до Так ты не туда смотришь Смотреть же надо на значения в окрестности нуля, а там они меняются плавно всего лишь на единичку. Первое значение в таблице зависит от начальной фазы функции синус, а она есть периодическая, поэтому начальная фаза может быть любой, все равно начало и конец таблицы замкнуться. Чтобы таблица начиналась и заканчивалась нулевыми значениями, изменил начальную фазу, вот пример: В таблице от 0 до это значения в области Здесь синус меняется быстрее всего. В указаной тобой таблице изменения такие: Максимальная плавность функции должна быть 1, иначе можно не 8 битную ЦАП ставить, а меньше. Ты имеешь виду перекристаллизацию, но тогда значительно уменьшится полоса сигнала и соответственен максимальная частота синусоиды, которую ты сможешь получить на выходе этого ЦАП-а. Есть еще погрешность, связанная с сопротивлением подтягивающих транзисторов к земле и к напряжению питания. А могут к тому-ж быть разными. Померял для своего контроллера ATMEGAPA-PUсопротивление транзисторов выхода. Землю через резистор ом соеденил с пинами мерял на На пины програмно подал 1. Померял напряжение питания и на резисторе. У DI кстати, резистор на 2К идущий к конденсатору вроде как лишний. Похоже я ошибся с ручными расчетами. Proteus говорит, цепочка R2R работает точно. А использование любой нагрузки, даже с очень маленьким сопротивлением, просто уменьшает выходной сигнал, не нарушая его линейности. Если пытаться рулить амплитудой сигнала программно, пересчитывая таблицу, будет теряться разрешение. На малых амплитудах будет совсем кисло, да? Кстати, рулить всё равно надо — хотя бы для компенсации завала характеристики ФНЧ, чтобы не делать фильтр мегасложным. Вы подписаны на ответ. Подписаться на все остальные комментарии в теме? Электроника для всех Search. Форум Сообщество Чат Магазин Ссылки Справочная Язык программирования С 1. Использование комментариев в тексте программы 1. Целый тип данных 1. Данные плавающего типа 1. Переменные перечислимого типа 1. Переменные с изменяемой структурой 1. Определение объектов и типов 1. Операнды и операции 1. Преобразования при вычислении выражений 1. Операции отрицания и дополнения 1. Операции разадресации и адреса 1. Операции увеличения и уменьшения 1. Оператор do while 1. Определение и вызов функций 1. Вызов функции с переменным числом параметров 1. Передача параметров функции main 1. Исходные файлы и объявление переменных 1. Время жизни и область видимости программных объектов 1. Инициализация глобальных и локальных переменных 1. Методы доступа к элементам массивов 1. Указатели на многомерные массивы 1. Операции с указателями 1. Динамическое размещение массивов О проекте. Например, для LM выглядит так: И лишь когда стал брать чуть ли не каждое 16е значение, то тогда стали заметны лесенки на функции: Вот такой код получился, точнее это фрагмент. Гггг … титьки в осциле! D А звуки сией штукой извлекать можно? Была такая недозвуковуха в свое время. Вот это она и есть. Скорей там развертку по типу телевизора делали. Но да, на таких вот ЦАП. На пальцах подробнее чем в статье не растолкуешь. Может есть пример схемы? Только надо не , а с защелкой, чтобы не было левых бросков при задвиге. Жалко что в протеусе не получается нормально смоделировать: Для источника опорного напряжения этот преобразователь имеет слишком маленькую точность. А мне кажется что GoToXY имел ввиду поставить транзисторы на выход вместо операционника. DI HALT, выходы имеют три состояния. ЦАПнем в троичной системе? Выход может быть либо 0 либо 1. Так что система двоичная. В Спб ADDRZ в ИТИС стоит Просто собираю такой ЦАП на макетке. А что там моделировать то? Схему перерисовать и все. DI, пришлите мне на почту ваш проект с матлаба. А произвольные кривые можно рисовать в чем угодно, хоть на тетради в клеточку. И у операционных усилителей недостаток что они используют не весь диапазон напряжения… По этому решил ставить звуковой усилитель хотя я ещё не разобрался хороший у них диапазон напряжения, или нет , выбрал ЛМ — он дешёвый, но в нем очень сомневаюсь. ЗЫ мне нужен обязательно дешёвый, по тому что я хочу около 10 устройств сделать. Поищи схемы Covox это оно и есть. Звуковуха для и спектрумов. А в код посмотреть? Меняешь DB таблицу на обычный массив-строку. Да перебираешь его в цикле. Слушай DI, у тебя таблица для синуса всего лишь на 7 бит. Прилагаю настоящую 8-битную таблицу. Добавить комментарий Отменить ответ Ваш e-mail не будет опубликован. Комментарий Имя E-mail Сайт Перед отправкой формы: Пожалуйста, выберите номера вертикальных картинок. Учебный курс MegaHard Lab MSP Учебный курс Автоэлектроника Готовые устройства Диагностика и ремонт Инструмент Книги Начинающим Новости Радиолюбительские Технологии Робототехника Софт PCB: OUT PORTD,R0 OUT PORTD,R1 OUT PORTD,R2 OUT PORTD,R3 OUT PORTD,R4 OUT PORTD,R5 OUT PORTD,R6 OUT PORTD,R7 OUT PORTD,R8 OUT PORTD,R9 OUT PORTD,R10 OUT PORTD,R11 OUT PORTD,R12 OUT PORTD,R13 OUT PORTD,R14 OUT PORTD,R15 OUT PORTD,R16 OUT PORTD,R17 OUT PORTD,R18 OUT PORTD,R19 OUT PORTD,R20 OUT PORTD,R21 OUT PORTD,R22 OUT PORTD,R23 OUT PORTD,R24 OUT PORTD,R25 OUT PORTD,R26 OUT PORTD,R27 OUT PORTD,R28 OUT PORTD,R29 OUT PORTD,R30 OUT PORTD,R


Бизнес план вейк парка
Специи для плова со свининой состав
Прыснули перцовым баллончиком в лицо что делать
Промышленный способ получения анилина
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment