Skip to content

Instantly share code, notes, and snippets.

module invertor(input wire x,
output wire y);
assign y = ~x;
endmodule
module test_invertor();
reg x;
wire y, z;
invertor inv(x, y);
invertor inv1(y, z);