Skip to content

Instantly share code, notes, and snippets.

@gibiansky
Created November 25, 2012 06:18
Show Gist options
  • Save gibiansky/4142590 to your computer and use it in GitHub Desktop.
Save gibiansky/4142590 to your computer and use it in GitHub Desktop.
Verilog Multiplexer
module mux(input a, input b, input select, output out);
assign out = select ? a : b;
endmodule
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment