Skip to content

Instantly share code, notes, and snippets.

@gibiansky
Created November 25, 2012 06:25
Show Gist options
  • Save gibiansky/4142620 to your computer and use it in GitHub Desktop.
Save gibiansky/4142620 to your computer and use it in GitHub Desktop.
Verilog Display Statement
#1;
$display("In: %b, %b select %b. Out %b.", a, b, s, out);
#1;
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment