Skip to content

Instantly share code, notes, and snippets.

@gibiansky
Created December 9, 2012 21:46
Show Gist options
  • Save gibiansky/4247177 to your computer and use it in GitHub Desktop.
Save gibiansky/4247177 to your computer and use it in GitHub Desktop.
Verilog Fibonacci Module
module fib(input clock, reset, input [5:0] n, output ready, output [31:0] value)
// Computational circuit
endmodule
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment