Skip to content

Instantly share code, notes, and snippets.

@gideonite
gideonite / parse_extra_col.py
Created November 20, 2019 16:43
for Philip
#!/usr/bin/python_
import sys,os
def main():
basedir = "/cluster/work/grlab/projects/projects2018-germlinesqtl/PM_transQTL_analysis/ensembl-vep"
filename = "mergedQTL_v3_VEP_output.vep.txt"
fullpath = os.path.join(basedir, filename)
#!/usr/bin/python
import tensorflow as tf
import tensorflow_probability as tfp
from tensorflow_probability import distributions as tfd
import tensorflow.contrib.eager as tfe
import numpy as np
import matplotlib.pyplot as plt
import sys
import os

Keybase proof

I hereby claim:

  • I am gideonite on github.
  • I am gideonite (https://keybase.io/gideonite) on keybase.
  • I have a public key whose fingerprint is 5BF4 4AC5 0078 C6FD F1C8 3BD7 96E1 5891 CFC5 0A73

To claim this, I am signing this object:

import itertools
from spacy.en import English
def gen_items():
print("Yield 0")
yield (0, 'Text 0')
print("Yield 1")
yield (1, 'Text 1')
print("Yield 2")
yield (2, 'Text 2')
@gideonite
gideonite / VCF.py
Last active August 29, 2015 14:27 — forked from slowkow/VCF.py
VCF.py is a simple module for reading VCF files
"""
VCF.py
Kamil Slowikowski
October 30, 2013
Read VCF files. Works with gzip compressed files and pandas.
Note: This module ignores the genotype columns because
I didn't need them at the time of writing.

Keybase proof

I hereby claim:

  • I am gideonite on github.
  • I am gideonite (https://keybase.io/gideonite) on keybase.
  • I have a public key whose fingerprint is 025F 7A9C AE3F 2F29 AB6D 93F8 03E0 E4FB 61B9 4E28

To claim this, I am signing this object:

(ns turing-machine.core)
;; TURING MACHINE:
;; - non-empty set of states
;; - set of the tape alphabet/symbols
;; - the blank symbol
;; - the set of input symbols
;; - the initial state
;; - the set of final or accepting states.
;; - the transition function, where L is left shift, R is right shift.
@gideonite
gideonite / gist:8214305
Created January 2, 2014 02:55
i3 config
# This file has been auto-generated by i3-config-wizard(1).
# It will not be overwritten, so edit it as you like.
#
# Should you change your keyboard layout somewhen, delete
# this file and re-run i3-config-wizard(1).
#
# i3 config file (v4)
#
# Please see http://i3wm.org/docs/userguide.html for a complete reference!
@gideonite
gideonite / gist:7936684
Last active December 31, 2015 04:49
Two calculators for arithmetic.
(defn eval-stack
[exp]
(match [exp]
[(_ :guard number?)] exp
[(_ :guard #{'+ '- '/ '*})] (eval exp) ;; hey Clojure, give me this operator...please!
[([ op left right] :seq)] ((eval-stack op) (eval-stack left) (eval-stack right))
:else "ERROR!"))
(comment
(eval-stack 1)
@gideonite
gideonite / gist:6362340
Created August 28, 2013 05:08
for hackerschool, "a program you've written from scratch"
//
// check out the live demo! www.gideond.com/mcmc-pi
//
// takes a dom element and a radius, returns an object with functions start,
// stop, and reset.
//
// *signature:* `dom el, number -> {function start, function stop, function reset}`
function mcmc_pi(dom_el, r) {
var margin = {
top: 5,