Skip to content

Instantly share code, notes, and snippets.

@goran-mahovlic
Last active December 29, 2021 10:21
Show Gist options
  • Save goran-mahovlic/46650b38930bed41cbe5ca499d863dee to your computer and use it in GitHub Desktop.
Save goran-mahovlic/46650b38930bed41cbe5ca499d863dee to your computer and use it in GitHub Desktop.
module top(
output green_led_d7,
output orange_led_d8,
output red_led_d5,
output yellow_led_d6,
inout tuner_sda,
output tuner_scl,
inout rtc_sda,
input rtc_scl
);
//assign green_led_d7 = 1'b1;
assign orange_led_d8 = 1'b1;
assign red_led_d5 = 1'b1;
assign yellow_led_d6 = 1'b1;
wire sda_mi, sda_mo;
wire sda_di, sda_do;
assign sda_mi = rtc_sda;
assign sda_mo = (~sda_di) ? 1'b0 : 1'bz;
assign tuner_scl = rtc_scl;
assign sda_di = tuner_sda;
assign sda_do = (~sda_mi) ? 1'b0 : 1'bz;
assign green_led_d7 = tuner_scl;
endmodule
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment