Skip to content

Instantly share code, notes, and snippets.

@goran-mahovlic
Created April 29, 2021 15:51
Show Gist options
  • Save goran-mahovlic/af1d35f53b0eb205696baf57761704d7 to your computer and use it in GitHub Desktop.
Save goran-mahovlic/af1d35f53b0eb205696baf57761704d7 to your computer and use it in GitHub Desktop.
BLOCK RESETPATHS;
BLOCK ASYNCPATHS;
## ULX3S v2.0 and v2.1
# The clock "usb" and "gpdi" sheet
#USE PRIMARY NET "clk_25mhz";
LOCATE COMP "clk_25mhz" SITE "G2";
IOBUF PORT "clk_25mhz" PULLMODE=NONE IO_TYPE=LVCMOS33;
FREQUENCY PORT "clk_25mhz" 25.0000 MHz;
#INPUT_SETUP ALLPORTS 5.000000 ns CLKPORT "clk" ;
#CLOCK_TO_OUT ALLPORTS 40.000000 ns CLKPORT "clk_stm32" ;
#USE PRIMARY PURE NET "clk_stm32";
LOCATE COMP "clk_stm32" SITE "A9";
IOBUF PORT "clk_stm32" PULLMODE=NONE IO_TYPE=LVCMOS33;
FREQUENCY PORT "clk_stm32" 25.0000 MHz;
#LOCATE COMP "clk_eth" SITE "B11";
#IOBUF PORT "clk_eth" PULLMODE=NONE IO_TYPE=LVCMOS33;
#FREQUENCY PORT "clk_eth" 25.000 MHZ;
#LOCATE COMP "clk_stm32" SITE "A9";
#IOBUF PORT "clk_stm32" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
# JTAG and SPI FLASH voltage 3.3V and options to boot from SPI flash
# write to FLASH possible any time from JTAG:
# SYSCONFIG CONFIG_IOVOLTAGE=3.3 COMPRESS_CONFIG=ON MCCLK_FREQ=62 MASTER_SPI_PORT=ENABLE SLAVE_SPI_PORT=DISABLE SLAVE_PARALLEL_PORT=DISABLE;
# write to FLASH possible from user bitstream, not possible form JTAG:
# SYSCONFIG CONFIG_IOVOLTAGE=3.3 COMPRESS_CONFIG=ON MCCLK_FREQ=62 MASTER_SPI_PORT=DISABLE SLAVE_SPI_PORT=DISABLE SLAVE_PARALLEL_PORT=DISABLE;
## SPI Flash chip "flash" sheet
LOCATE COMP "flash_csn" SITE "R2";
LOCATE COMP "flash_mosi" SITE "W2";
LOCATE COMP "flash_miso" SITE "V2";
LOCATE COMP "flash_holdn" SITE "W1";
LOCATE COMP "flash_wpn" SITE "Y2";
#LOCATE COMP "flash_clk" SITE "U3";
#LOCATE COMP "flash_csspin" SITE "AJ3";
#LOCATE COMP "flash_initn" SITE "AG4";
#LOCATE COMP "flash_done" SITE "AJ4";
#LOCATE COMP "flash_programn" SITE "AH4";
#LOCATE COMP "flash_cfg_select[0]" SITE "AM4";
#LOCATE COMP "flash_cfg_select[1]" SITE "AL4";
#LOCATE COMP "flash_cfg_select[2]" SITE "AK4";
IOBUF PORT "flash_csn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "flash_mosi" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "flash_miso" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "flash_holdn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "flash_wpn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "flash_clk" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "flash_csspin" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "flash_initn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "flash_done" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "flash_programn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "flash_cfg_select[0]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "flash_cfg_select[1]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "flash_cfg_select[2]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4;
## SD card "sdcard", "usb" sheet
LOCATE COMP "sd_clk" SITE "L3"; # sd_clk WiFi_GPIO14
LOCATE COMP "sd_cmd" SITE "H1"; # sd_cmd_di (MOSI) WiFi GPIO15
LOCATE COMP "sd_d0" SITE "N5"; # sd_dat0_do (MISO) WiFi GPIO2
LOCATE COMP "sd_detect" SITE "K5"; # not connected
#IOBUF PORT "sd_clk" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "sd_cmd" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "sd_d0" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "sd_detect" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "sd_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "sd_cmd" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "sd_d0" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "sd_detect" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4;
## Second USB port "US2" going directly into FPGA "usb", "ram" sheet
LOCATE COMP "usb_fpga_dp" SITE "E16"; # single ended or differential input only
LOCATE COMP "usb_fpga_dn" SITE "F16";
IOBUF PORT "usb_fpga_dp" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16;
IOBUF PORT "usb_fpga_dn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16;
LOCATE COMP "usb_fpga_bd_dp" SITE "D15"; # differential bidirectional
LOCATE COMP "usb_fpga_bd_dn" SITE "E15";
IOBUF PORT "usb_fpga_bd_dp" PULLMODE=NONE IO_TYPE=LVCMOS33D DRIVE=4;
IOBUF PORT "usb_fpga_bd_dn" PULLMODE=NONE IO_TYPE=LVCMOS33D DRIVE=4;
LOCATE COMP "usb_fpga_pu_dp" SITE "B12"; # pull up/down control
LOCATE COMP "usb_fpga_pu_dn" SITE "C12";
IOBUF PORT "usb_fpga_pu_dp" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16;
IOBUF PORT "usb_fpga_pu_dn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16;
## JTAG ESP-32 "usb" sheet
# connected to FT231X and ESP-32
# commented out because those are dedicated pins, not directly useable as GPIO
# but could be used by some vendor-specific JTAG bridging (boundary scan) module
#LOCATE COMP "jtag_tdi" SITE "R5"; # FTDI_nRI FPGA receives
#LOCATE COMP "jtag_tdo" SITE "V4"; # FTDI_nCTS FPGA transmits
#LOCATE COMP "jtag_tck" SITE "T5"; # FTDI_nDSR FPGA receives
#LOCATE COMP "jtag_tms" SITE "U5"; # FTDI_nDCD FPGA receives
#IOBUF PORT "jtag_tdi" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "jtag_tdo" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "jtag_tck" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
#IOBUF PORT "jtag_tms" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
# GPDI differential interface (Video) "gpdi" sheet
LOCATE COMP "gpdi_dp[0]" SITE "A16"; # Blue +
LOCATE COMP "gpdi_dn[0]" SITE "B16"; # Blue -
LOCATE COMP "gpdi_dp[1]" SITE "A14"; # Green +
LOCATE COMP "gpdi_dn[1]" SITE "C14"; # Green -
LOCATE COMP "gpdi_dp[2]" SITE "A12"; # Red +
LOCATE COMP "gpdi_dn[2]" SITE "A13"; # Red -
LOCATE COMP "gpdi_dp[3]" SITE "A17"; # Clock +
LOCATE COMP "gpdi_dn[3]" SITE "B18"; # Clock -
LOCATE COMP "gpdi_ethp" SITE "A19"; # Ethernet +
LOCATE COMP "gpdi_ethn" SITE "B20"; # Ethernet -
LOCATE COMP "gpdi_cec" SITE "A18";
LOCATE COMP "gpdi_sda" SITE "B19"; # I2C shared with RTC
LOCATE COMP "gpdi_scl" SITE "E12"; # I2C shared with RTC C12->E12
LOCATE COMP "stm32_sda" SITE "U16"; # STM32 I2C
LOCATE COMP "stm32_scl" SITE "U18"; # STM32 I2C
IOBUF PORT "gpdi_dp[0]" IO_TYPE=LVCMOS33D DRIVE=4;
IOBUF PORT "gpdi_dn[0]" IO_TYPE=LVCMOS33D DRIVE=4;
IOBUF PORT "gpdi_dp[1]" IO_TYPE=LVCMOS33D DRIVE=4;
IOBUF PORT "gpdi_dn[1]" IO_TYPE=LVCMOS33D DRIVE=4;
IOBUF PORT "gpdi_dp[2]" IO_TYPE=LVCMOS33D DRIVE=4;
IOBUF PORT "gpdi_dn[2]" IO_TYPE=LVCMOS33D DRIVE=4;
IOBUF PORT "gpdi_dp[3]" IO_TYPE=LVCMOS33D DRIVE=4;
IOBUF PORT "gpdi_dn[3]" IO_TYPE=LVCMOS33D DRIVE=4;
IOBUF PORT "gpdi_ethp" IO_TYPE=LVCMOS33D DRIVE=4;
IOBUF PORT "gpdi_ethn" IO_TYPE=LVCMOS33D DRIVE=4;
IOBUF PORT "gpdi_cec" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "gpdi_sda" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "gpdi_scl" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "stm32_sda" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "stm32_scl" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
# LCD inputs/outputs from STM32
LOCATE COMP "R_in[7]" SITE "H4"; #
LOCATE COMP "R_in[6]" SITE "C2"; #
LOCATE COMP "R_in[5]" SITE "C3"; #
LOCATE COMP "R_in[4]" SITE "E4"; #
LOCATE COMP "R_in[3]" SITE "E3"; #
IOBUF PORT "R_in[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "R_in[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "R_in[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "R_in[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "R_in[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "R_out[7]" SITE "P1"; #
LOCATE COMP "R_out[6]" SITE "G1"; #
LOCATE COMP "R_out[5]" SITE "J5"; #
LOCATE COMP "R_out[4]" SITE "E9"; #
LOCATE COMP "R_out[3]" SITE "E6"; #
IOBUF PORT "R_out[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "R_out[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "R_out[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "R_out[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "R_out[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "G_in[7]" SITE "G3"; #
LOCATE COMP "G_in[6]" SITE "E2"; #
LOCATE COMP "G_in[5]" SITE "H3"; #
LOCATE COMP "G_in[4]" SITE "D2"; #
LOCATE COMP "G_in[3]" SITE "F1"; #
LOCATE COMP "G_in[2]" SITE "B2"; #
IOBUF PORT "G_in[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "G_in[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "G_in[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "G_in[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "G_in[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "G_in[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "G_out[7]" SITE "J4"; #
LOCATE COMP "G_out[6]" SITE "L1"; #
LOCATE COMP "G_out[5]" SITE "K3"; #
LOCATE COMP "G_out[4]" SITE "K4"; #
LOCATE COMP "G_out[3]" SITE "J3"; #
LOCATE COMP "G_out[2]" SITE "J1"; #
IOBUF PORT "G_out[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "G_out[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "G_out[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "G_out[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "G_out[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "G_out[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "B_in[7]" SITE "E5"; #
LOCATE COMP "B_in[6]" SITE "F5"; #
LOCATE COMP "B_in[5]" SITE "B3"; #
LOCATE COMP "B_in[4]" SITE "F4"; #
LOCATE COMP "B_in[3]" SITE "E1"; #
IOBUF PORT "B_in[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "B_in[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "B_in[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "B_in[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "B_in[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "B_out[7]" SITE "T1"; #
LOCATE COMP "B_out[6]" SITE "P4"; #
LOCATE COMP "B_out[5]" SITE "R1"; #
LOCATE COMP "B_out[4]" SITE "P3"; #
LOCATE COMP "B_out[3]" SITE "P2"; #
IOBUF PORT "B_out[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "B_out[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "B_out[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "B_out[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "B_out[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "lcd_clk_in" SITE "B11"; #
LOCATE COMP "lcd_HSYNC_in" SITE "D1"; #
LOCATE COMP "lcd_VSYNC_in" SITE "C1"; #
LOCATE COMP "lcd_DE_in" SITE "D3"; #
IOBUF PORT "lcd_clk_in" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "lcd_HSYNC_in" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "lcd_VSYNC_in" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "lcd_DE_in" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "lcd_clk_out" SITE "L4"; #
LOCATE COMP "lcd_HSYNC_out" SITE "U1"; #
LOCATE COMP "lcd_VSYNC_out" SITE "V1"; #
LOCATE COMP "lcd_DE_out" SITE "U17"; #
USE PRIMARY NET "lcd_clk_out";
IOBUF PORT "lcd_clk_out" PULLMODE=NONE IO_TYPE=LVCMOS33;
FREQUENCY PORT "lcd_clk_out" 25.0000 MHz;
IOBUF PORT "lcd_clk_out" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "lcd_HSYNC_out" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "lcd_VSYNC_out" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "lcd_DE_out" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "spi2_in_clk" SITE "H2"; #
LOCATE COMP "spi2_in_miso" SITE "N17"; #
LOCATE COMP "spi2_in_mosi" SITE "R17"; #
LOCATE COMP "spi2_in_csa" SITE "P16"; #
LOCATE COMP "spi2_in_csb" SITE "K1"; #
LOCATE COMP "spi2_in_irq" SITE "B4"; #
IOBUF PORT "spi2_in_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi2_in_miso" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi2_in_mosi" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi2_in_csa" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi2_in_csb" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi2_in_irq" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "spi2_out_clk" SITE "C11"; #
LOCATE COMP "spi2_out_miso" SITE "P17"; #
LOCATE COMP "spi2_out_mosi" SITE "L17"; #
LOCATE COMP "spi2_out_csa" SITE "D16"; #
LOCATE COMP "spi2_out_csb" SITE "D17"; #
LOCATE COMP "spi2_out_irq" SITE "E17"; #
IOBUF PORT "spi2_out_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi2_out_miso" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi2_out_mosi" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi2_out_csa" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi2_out_csb" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi2_out_irq" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "spi4_in_clk" SITE "A10"; #
LOCATE COMP "spi4_in_miso" SITE "A3"; #
LOCATE COMP "spi4_in_mosi" SITE "A4"; #
LOCATE COMP "spi4_in_csa" SITE "B1"; #
LOCATE COMP "spi4_in_csb" SITE "A5"; #
LOCATE COMP "spi4_in_irq" SITE "A2"; #
IOBUF PORT "spi4_in_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi4_in_miso" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi4_in_mosi" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi4_in_csa" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi4_in_csb" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi4_in_irq" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "spi4_out_clk" SITE "A11"; #
LOCATE COMP "spi4_out_miso" SITE "C17"; #
LOCATE COMP "spi4_out_mosi" SITE "B17"; #
LOCATE COMP "spi4_out_csa" SITE "H17"; #
LOCATE COMP "spi4_out_csb" SITE "H16"; #
LOCATE COMP "spi4_out_irq" SITE "C18"; #
IOBUF PORT "spi4_out_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi4_out_miso" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi4_out_mosi" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi4_out_csa" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi4_out_csb" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi4_out_irq" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "spi5_in_clk" SITE "H5"; #
LOCATE COMP "spi5_in_miso" SITE "A6"; #
LOCATE COMP "spi5_in_mosi" SITE "D6"; #
LOCATE COMP "spi5_in_csa" SITE "B5"; #
LOCATE COMP "spi5_in_csb" SITE "F2"; #
LOCATE COMP "spi5_in_irq" SITE "N1"; #
IOBUF PORT "spi5_in_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi5_in_miso" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi5_in_mosi" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi5_in_csa" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi5_in_csb" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi5_in_irq" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
LOCATE COMP "spi5_out_clk" SITE "L16"; #
LOCATE COMP "spi5_out_miso" SITE "G18"; #
LOCATE COMP "spi5_out_mosi" SITE "M17"; #
LOCATE COMP "spi5_out_csa" SITE "F17"; #
LOCATE COMP "spi5_out_csb" SITE "D18"; #
LOCATE COMP "spi5_out_irq" SITE "H18"; #
IOBUF PORT "spi5_out_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi5_out_miso" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi5_out_mosi" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi5_out_csa" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi5_out_csb" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
IOBUF PORT "spi5_out_irq" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
## PROGRAMN (reload bitstream from FLASH, exit from bootloader)
# PCB v2.0.5 and higher
LOCATE COMP "user_programn" SITE "M4";
IOBUF PORT "user_programn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;
## SHUTDOWN "power", "ram" sheet (connected from PCB v1.7.5)
# on PCB v1.7 shutdown is not connected to FPGA
LOCATE COMP "shutdown" SITE "G16"; # FPGA receives
IOBUF PORT "shutdown" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4;
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment