-
-
Save guanix/86e1dcaa0e16cd867270 to your computer and use it in GitHub Desktop.
arachne-pnr problem
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
ramtest.bin: ramtest.v ramtest.pcf | |
yosys -q -p "synth_ice40 -blif ramtest.blif" ramtest.v | |
arachne-pnr -p ramtest.pcf ramtest.blif -o ramtest.txt | |
icebox_explain ramtest.txt > ramtest.ex | |
icepack ramtest.txt ramtest.bin | |
clean: | |
rm -f ramtest.blif ramtest.txt ramtest.ex ramtest.bin |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
# Generated by Yosys 0.5+ 286 (git sha1 eb38722, clang 6.1.0 -fPIC -Os) | |
.model top | |
.inputs clk | |
.outputs D1 | |
.names $false | |
.names $true | |
1 | |
.names $undef | |
.gate SB_RAM40_4K MASK[0]=$false MASK[1]=$false MASK[2]=$false MASK[3]=$false MASK[4]=$false MASK[5]=$false MASK[6]=$false MASK[7]=$false MASK[8]=$false MASK[9]=$false MASK[10]=$false MASK[11]=$false MASK[12]=$false MASK[13]=$false MASK[14]=$false MASK[15]=$false RADDR[0]=$false RADDR[1]=$false RADDR[2]=$false RADDR[3]=$false RADDR[4]=$false RADDR[5]=$false RADDR[6]=$false RADDR[7]=$false RCLK=$false RCLKE=$false RDATA[0]=rdata[0] RDATA[1]=rdata[1] RDATA[2]=rdata[2] RDATA[3]=rdata[3] RDATA[4]=rdata[4] RDATA[5]=rdata[5] RDATA[6]=rdata[6] RDATA[7]=rdata[7] RDATA[8]=rdata[8] RDATA[9]=rdata[9] RDATA[10]=rdata[10] RDATA[11]=rdata[11] RDATA[12]=rdata[12] RDATA[13]=rdata[13] RDATA[14]=rdata[14] RDATA[15]=rdata[15] RE=$false WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false | |
.attr src "ramtest.v:10" | |
.param READ_MODE 00000000000000000000000000000000 | |
.param WRITE_MODE 00000000000000000000000000000000 | |
.names rdata[0] D1 | |
1 1 | |
.end |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
set_io clk 21 | |
set_io D1 99 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
.device 1k | |
.io_tile 1 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 2 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 3 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 4 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 5 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 6 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 7 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 8 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 9 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 10 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 11 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 12 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 1 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 3 1 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 10 1 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 1 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 2 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 3 2 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 10 2 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 2 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 3 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 3 3 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 10 3 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 3 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 4 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 3 4 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 10 4 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 4 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 5 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 3 5 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 10 5 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 5 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 6 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 3 6 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 10 6 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 6 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 7 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 3 7 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 10 7 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 7 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 8 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
001100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000001 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 3 8 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 10 8 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 8 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 9 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 3 9 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 10 9 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 9 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 10 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 3 10 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 10 10 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 10 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 11 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 3 11 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 10 11 | |
000000000000000000000000000000000000000010 | |
000000000000000000000011100000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
010000000000000000000000000000000000000000 | |
010000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 11 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 12 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 3 12 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
001000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 10 12 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
010000000000000000000011000000000000000000 | |
110000000000000000000100000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000001100000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000001100000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000100000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 12 | |
000001110000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000010 | |
000000000000010000 | |
000000000000000000 | |
000000000000000001 | |
000000000000000010 | |
000000000000000000 | |
.io_tile 0 13 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 3 13 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 10 13 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 13 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 14 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 3 14 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 10 14 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 14 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 15 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 3 15 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 10 15 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 15 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 0 16 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.logic_tile 1 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 3 16 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 4 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 6 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 7 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 10 16 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 11 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.io_tile 13 16 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 1 17 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
module top( | |
input clk, | |
output D1 | |
); | |
wire [15:0] rdata; | |
wire [7:0] raddr; | |
reg out; | |
SB_RAM40_4K #( | |
.WRITE_MODE(0), | |
.READ_MODE(0) | |
) ram ( | |
.RDATA(rdata), | |
.RCLK(1'b0), | |
.RCLKE(1'b0), | |
.RE(1'b0), | |
.RADDR(raddr), | |
.WCLK(1'b0), | |
.WCLKE(1'b0), | |
.WE(1'b0), | |
.WADDR(8'h00), | |
.MASK(16'h0000), | |
.WDATA(16'h0000) | |
); | |
always @(posedge clk) begin | |
raddr <= 0; | |
end | |
assign D1 = rdata[0]; | |
endmodule |
Sign up for free
to join this conversation on GitHub.
Already have an account?
Sign in to comment