Skip to content

Instantly share code, notes, and snippets.

@imrickysu
Created January 22, 2017 07:35
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save imrickysu/66b6321c64713429b97fa96db20ebd0b to your computer and use it in GitHub Desktop.
Save imrickysu/66b6321c64713429b97fa96db20ebd0b to your computer and use it in GitHub Desktop.
Create Vivado project by bd.tcl and run through implementation to bitstream generation
# launch with vivado -mode batch -source vivado_proj_bit_generation.tcl
# create block design
# bd.tcl is exported by "write_bd_tcl bd.tcl" in an existing project
source bd.tcl
# run through implementation
set wrapper_file [make_wrapper -files [get_files -filter {FILE_TYPE == "Block Designs"}] -top]
add_files -norecurse $wrapper_file
update_compile_order -fileset sources_1
update_compile_order -fileset sim_1
launch_runs impl_1 -to_step write_bitstream
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment