Skip to content

Instantly share code, notes, and snippets.

@jjcarrier
jjcarrier / PWM.vhd
Last active March 8, 2022 22:34
A parameterized PWM module written in VHDL
-- Written By Jon Carrier
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use ieee.numeric_std.all;
entity PWM is
generic (
prescale: integer := 0; --frequency(PWM_clk)=frequency(i_CLK/(prescale+1))
@fpgadeveloper
fpgadeveloper / FIR Acceleration on PYNQ.ipynb
Last active February 17, 2024 21:46
How to accelerate a Python function with PYNQ
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@palacaze
palacaze / interrupt-handler.hpp
Last active June 21, 2024 06:41
C++ Interrupt handler using ASIO
#pragma once
#include <condition_variable>
#include <functional>
#include <mutex>
#include <thread>
#include <asio.hpp>
#include <asio/signal_set.hpp>
namespace system {