Skip to content

Instantly share code, notes, and snippets.

@jck
Created March 16, 2016 13:48
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save jck/fd747a5f2ed51c25f95d to your computer and use it in GitHub Desktop.
Save jck/fd747a5f2ed51c25f95d to your computer and use it in GitHub Desktop.
from myhdl import block, delay, always_seq, instance, intbv, Signal, StopSimulation
@block
def inc(clk, rst, en, count):
@always_seq(clk.posedge, rst)
def logic():
if en:
count.next = count + 1
return logic
@block
def test_inc(backend='myhdl'):
clk = Clock()
rst = Reset(async=False)
en = Signal(False)
count = Signal(intbv()[8:])
dut = inc(clk, rst, en, count)
#backend defaults to myhdl in config_sim
dut.config_sim(backend, trace=True)
@instance
def stim():
for i in range(20):
en.next = randbits(1)
raise StopSimulation
@instance
def mon():
while True:
yield clk.posedge
yield delay(1)
print(rst, en, count)
#If the function was not decorated with @run,
#run(clk.gen(), rst.pulse(), dut, stim, mon) would do the trick.
return clk.gen(), rst.pulse(5), dut, stim, mon
#run with myhdl
test_inc().run_sim()
#run with icarus
test_inc('icarus').run_sim()
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment