Skip to content

Instantly share code, notes, and snippets.

View jjhorton's full-sized avatar

James Horton jjhorton

View GitHub Profile
@jjhorton
jjhorton / blinky.v
Last active June 27, 2022 19:31
Ice Sugar Pro PMod LED Example
`default_nettype none
module blinky(CLK, LED);
input wire CLK;
output wire [7:0] LED;
parameter WIDTH=25;
reg [WIDTH-1:0] counter;
reg [7:0] display;