Skip to content

Instantly share code, notes, and snippets.

@k3170makan
Created March 7, 2019 02:12
Show Gist options
  • Save k3170makan/40eae7580a1f5630a53d882002597639 to your computer and use it in GitHub Desktop.
Save k3170makan/40eae7580a1f5630a53d882002597639 to your computer and use it in GitHub Desktop.
example log output of successfull verilog synthesize with project icestorm for the icestick40
>make
yosys -p 'synth_ice40 -top top -blif example.blif' example.v
/----------------------------------------------------------------------------\
| |
| yosys -- Yosys Open SYnthesis Suite |
| |
| Copyright (C) 2012 - 2018 Clifford Wolf <clifford@clifford.at> |
| |
| Permission to use, copy, modify, and/or distribute this software for any |
| purpose with or without fee is hereby granted, provided that the above |
| copyright notice and this permission notice appear in all copies. |
| |
| THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |
| WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |
| MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |
| ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |
| WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |
| ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |
| OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |
| |
\----------------------------------------------------------------------------/
Yosys 0.8+147 (git sha1 266511b, gcc 5.5.0-12ubuntu1~16.04 -fPIC -Os)
-- Parsing `example.v' using frontend `verilog' --
1. Executing Verilog-2005 frontend.
Parsing Verilog input from `example.v' to AST representation.
Generating RTLIL representation for module `\top'.
Successfully finished Verilog frontend.
-- Running command `synth_ice40 -top top -blif example.blif' --
2. Executing SYNTH_ICE40 pass.
2.1. Executing Verilog-2005 frontend.
Parsing Verilog input from `/usr/local/bin/../share/yosys/ice40/cells_sim.v' to AST representation.
Generating RTLIL representation for module `\SB_IO'.
Generating RTLIL representation for module `\SB_GB_IO'.
Generating RTLIL representation for module `\SB_GB'.
Generating RTLIL representation for module `\SB_LUT4'.
Generating RTLIL representation for module `\SB_CARRY'.
[... skipped ....]
After placement:
PIOs 4 / 96
PLBs 9 / 160
BRAMs 0 / 16
place time 0.11s
route...
pass 1, 0 shared.
After routing:
span_4 13 / 6944
span_12 3 / 1440
route time 0.07s
write_txt example.txt...
icepack example.txt example.bin
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment